首页 > 最新文献

16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)最新文献

英文 中文
Fault diagnosis aware ATE assisted test response compaction 故障诊断感知ATE辅助测试响应压缩
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722302
J. M. Howard, S. Reddy, I. Pomeranz, B. Becker
Recently a new method called ATE assisted compaction for achieving test response compaction has been proposed. The method relies on testers to achieve additional compaction, without compromising fault coverage, beyond what may already be achieved using on-chip response compactors. The method does not add additional logic or modify the circuit under test or require additional tests and thus can be used with any design including legacy designs. In this work, we enhance this method so that the level of diagnostic resolution achieved without it can be maintained. Experimental results on larger ISCAS-89 show that additional test response compaction can be achieved while diagnostic resolution for single and double stuck-at faults is not adversely impacted by the procedure.
最近提出了一种称为ATE辅助压实的新方法来实现测试响应压实。该方法依赖于测试器来实现额外的压缩,而不影响故障覆盖范围,超出了使用片上响应压缩器可能已经实现的目标。该方法不添加额外的逻辑或修改被测电路,也不需要额外的测试,因此可用于任何设计,包括遗留设计。在这项工作中,我们改进了这种方法,以便在没有它的情况下保持诊断分辨率的水平。在较大的ISCAS-89上的实验结果表明,在对单卡故障和双卡故障的诊断分辨率不受不利影响的情况下,可以实现额外的测试响应压缩。
{"title":"Fault diagnosis aware ATE assisted test response compaction","authors":"J. M. Howard, S. Reddy, I. Pomeranz, B. Becker","doi":"10.1109/ASPDAC.2011.5722302","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722302","url":null,"abstract":"Recently a new method called ATE assisted compaction for achieving test response compaction has been proposed. The method relies on testers to achieve additional compaction, without compromising fault coverage, beyond what may already be achieved using on-chip response compactors. The method does not add additional logic or modify the circuit under test or require additional tests and thus can be used with any design including legacy designs. In this work, we enhance this method so that the level of diagnostic resolution achieved without it can be maintained. Experimental results on larger ISCAS-89 show that additional test response compaction can be achieved while diagnostic resolution for single and double stuck-at faults is not adversely impacted by the procedure.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"22 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128929581","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
The alarms project: A hardware/software approach to addressing parameter variations 警报项目:处理参数变化的硬件/软件方法
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722200
D. Brooks
Parameter variations (process, voltage, and temperature) threaten continued performance scaling of power-constrained computer systems. As designers seek to contain the power consumption of microprocessors through reductions in supply voltage and power-saving techniques such as clock-gating, these systems suffer increasingly large power supply fluctuations due to the finite impedance of the power supply network. These supply fluctuations, referred to as voltage emergencies, must be managed to guarantee correctness. Traditional approaches to address this problem incur high-cost or compromise power/performance efficiency. Our research seeks ways to handle these alarm conditions through a combined hardware/software approach, motivated by root cause analysis of voltage emergencies revealing that many of these events are heavily linked to both program control flow and microarchitectural events (cache misses and pipeline flushes). This talk will discuss three aspects of the project: (1) a fail-safe mechanism that provides hardware guaranteed correctness; (2) a voltage emergency predictor that leverages control flow and microarchitectural event information to predict voltage emergencies up to 16 cycles in advance; and (3) a proof-of-concept dynamic compiler implementation that demonstrates that dynamic code transformations can be used to eliminate voltage emergencies from the instruction stream with minimal impact on performance [1–9].
参数变化(过程、电压和温度)威胁到功率受限的计算机系统的持续性能扩展。由于设计人员试图通过降低电源电压和时钟门控等节能技术来控制微处理器的功耗,由于供电网络的阻抗有限,这些系统遭受越来越大的电源波动。这些电源波动,称为电压紧急情况,必须加以管理以保证正确性。解决此问题的传统方法会导致高成本或降低功率/性能效率。我们的研究寻求通过结合硬件/软件方法来处理这些报警条件的方法,其动机是对电压紧急情况的根本原因分析,揭示了许多这些事件与程序控制流和微架构事件(缓存丢失和管道刷新)密切相关。本演讲将讨论项目的三个方面:(1)提供硬件保证正确性的故障安全机制;(2)利用控制流和微架构事件信息提前16个周期预测电压突发事件的电压应急预测器;(3)概念验证动态编译器实现,证明动态代码转换可以用于消除指令流中的电压紧急情况,对性能的影响最小[1-9]。
{"title":"The alarms project: A hardware/software approach to addressing parameter variations","authors":"D. Brooks","doi":"10.1109/ASPDAC.2011.5722200","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722200","url":null,"abstract":"Parameter variations (process, voltage, and temperature) threaten continued performance scaling of power-constrained computer systems. As designers seek to contain the power consumption of microprocessors through reductions in supply voltage and power-saving techniques such as clock-gating, these systems suffer increasingly large power supply fluctuations due to the finite impedance of the power supply network. These supply fluctuations, referred to as voltage emergencies, must be managed to guarantee correctness. Traditional approaches to address this problem incur high-cost or compromise power/performance efficiency. Our research seeks ways to handle these alarm conditions through a combined hardware/software approach, motivated by root cause analysis of voltage emergencies revealing that many of these events are heavily linked to both program control flow and microarchitectural events (cache misses and pipeline flushes). This talk will discuss three aspects of the project: (1) a fail-safe mechanism that provides hardware guaranteed correctness; (2) a voltage emergency predictor that leverages control flow and microarchitectural event information to predict voltage emergencies up to 16 cycles in advance; and (3) a proof-of-concept dynamic compiler implementation that demonstrates that dynamic code transformations can be used to eliminate voltage emergencies from the instruction stream with minimal impact on performance [1–9].","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"119 6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129328709","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Facilitating unreachable code diagnosis and debugging 促进不可达代码的诊断和调试
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722238
Hong-Zu Chou, Kai-Hui Chang, S. Kuo
Code coverage is a popular method to find design bugs and verification loopholes. However, once a piece of code is determined to be unreachable, diagnosing the cause of the problem can be challenging: since the code is unreachable, no counterexample can be returned for debugging. Therefore, engineers need to analyze the legality of nonexistent execution paths, which can be difficult. To address such a problem, we analyzed the cause of unreachability in several industrial designs and proposed a diagnosis technique that can explain the cause of unreachability. In addition, our method provides suggestions on how to solve the un-reachability problem, which can further facilitate debugging. Our experimental results show that this technique can greatly reduce an engineer's effort in analyzing unreachable code.
代码覆盖是发现设计缺陷和验证漏洞的常用方法。然而,一旦确定一段代码不可访问,诊断问题的原因可能具有挑战性:由于代码不可访问,因此无法返回反例进行调试。因此,工程师需要分析不存在的执行路径的合法性,这可能是困难的。为了解决这个问题,我们分析了几个工业设计中不可达性的原因,并提出了一种可以解释不可达性原因的诊断技术。此外,我们的方法还提供了如何解决不可达问题的建议,可以进一步方便调试。实验结果表明,该技术可以大大减少工程师分析不可达代码的工作量。
{"title":"Facilitating unreachable code diagnosis and debugging","authors":"Hong-Zu Chou, Kai-Hui Chang, S. Kuo","doi":"10.1109/ASPDAC.2011.5722238","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722238","url":null,"abstract":"Code coverage is a popular method to find design bugs and verification loopholes. However, once a piece of code is determined to be unreachable, diagnosing the cause of the problem can be challenging: since the code is unreachable, no counterexample can be returned for debugging. Therefore, engineers need to analyze the legality of nonexistent execution paths, which can be difficult. To address such a problem, we analyzed the cause of unreachability in several industrial designs and proposed a diagnosis technique that can explain the cause of unreachability. In addition, our method provides suggestions on how to solve the un-reachability problem, which can further facilitate debugging. Our experimental results show that this technique can greatly reduce an engineer's effort in analyzing unreachable code.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128802928","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
A fine-grained technique of NBTI-aware voltage scaling and body biasing for standard cell based designs 基于标准电池设计的nbti感知电压缩放和体偏置的细粒度技术
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722260
Yongho Lee, Taewhan Kim
As the technology scales, the increase of circuit delay over time due to NBTI (negative bias temperature instability) effect is not negligible any more. It has been known that voltage scaling is an effective scheme that is able to mitigate the NBTI effect. However, a careful control of voltage scaling is required not to increase the dissipation of dynamic power significantly. On the other hand, body biasing can also be used to mitigate the NBTI effect by lowering down the threshold voltage, but its effectiveness is limited, as will be demonstrated in this work, and it increases the leakage power. This work addresses an important problem of minimizing the power consumption of circuit while controlling the NBTI induced delay increase to meet the circuit timing constraint by simultaneously utilizing the effects of voltage scaling and body biasing on both NBTI and power consumption. Precisely, we solve the problem of finding a set of supply and body biasing voltage values to apply circuit clusters on standard cell based design to minimize the total power consumption while satisfying the constraint of circuit life time, considering the NBTI induced delay factor in circuit timing computation. By a comprehensive analysis on the relations between the values of supply and body biasing voltages and the values of the resulting power consumption and NBTI induced delay, we precisely formulate the problem, and transform it into a problem of convex optimization to solve it efficiently. Through extensive experimentation using ISCAS benchmark designs, it is shown that the proposed approach to the simultaneous exploitation of supply voltage and body biasing is able to produce designs with 14% and 8% reduced energy consumption on average over the designs produced by the design time NBTI-aware guard-banding based voltage scaling [20] and the run time NBTI-aware voltage scaling [4], respectively.
随着技术规模的扩大,由于负偏置温度不稳定性(NBTI)效应导致的电路延迟随时间的增加不再是可以忽略不计的。众所周知,电压缩放是一种有效的方案,能够减轻NBTI效应。然而,需要仔细控制电压缩放,以避免显著增加动态功率的耗散。另一方面,体偏也可以通过降低阈值电压来减轻NBTI效应,但其有效性有限,正如本工作所证明的那样,它会增加泄漏功率。本工作解决了一个重要的问题,即通过同时利用电压缩放和体偏置对NBTI和功耗的影响,在控制NBTI诱导延迟增加以满足电路时序约束的同时最小化电路功耗。具体地说,我们解决了在满足电路寿命约束的情况下,在电路时序计算中考虑NBTI引起的延迟因素,在基于标准单元的设计中应用电路簇的一组电源和体偏置电压值的问题,从而使总功耗最小化。通过综合分析电源和本体偏置电压值与由此产生的功耗和NBTI诱导延迟值之间的关系,我们精确地表述了该问题,并将其转化为凸优化问题,从而有效地求解了该问题。通过使用ISCAS基准设计进行的大量实验表明,与基于nbti感知的保护带电压缩放[20]和基于nbti感知的运行时间电压缩放[4]的设计相比,同时利用电源电压和体偏置的方法能够产生平均降低14%和8%的能耗的设计。
{"title":"A fine-grained technique of NBTI-aware voltage scaling and body biasing for standard cell based designs","authors":"Yongho Lee, Taewhan Kim","doi":"10.1109/ASPDAC.2011.5722260","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722260","url":null,"abstract":"As the technology scales, the increase of circuit delay over time due to NBTI (negative bias temperature instability) effect is not negligible any more. It has been known that voltage scaling is an effective scheme that is able to mitigate the NBTI effect. However, a careful control of voltage scaling is required not to increase the dissipation of dynamic power significantly. On the other hand, body biasing can also be used to mitigate the NBTI effect by lowering down the threshold voltage, but its effectiveness is limited, as will be demonstrated in this work, and it increases the leakage power. This work addresses an important problem of minimizing the power consumption of circuit while controlling the NBTI induced delay increase to meet the circuit timing constraint by simultaneously utilizing the effects of voltage scaling and body biasing on both NBTI and power consumption. Precisely, we solve the problem of finding a set of supply and body biasing voltage values to apply circuit clusters on standard cell based design to minimize the total power consumption while satisfying the constraint of circuit life time, considering the NBTI induced delay factor in circuit timing computation. By a comprehensive analysis on the relations between the values of supply and body biasing voltages and the values of the resulting power consumption and NBTI induced delay, we precisely formulate the problem, and transform it into a problem of convex optimization to solve it efficiently. Through extensive experimentation using ISCAS benchmark designs, it is shown that the proposed approach to the simultaneous exploitation of supply voltage and body biasing is able to produce designs with 14% and 8% reduced energy consumption on average over the designs produced by the design time NBTI-aware guard-banding based voltage scaling [20] and the run time NBTI-aware voltage scaling [4], respectively.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"91 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117290396","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 79
Device-parameter estimation with on-chip variation sensors considering random variability 考虑随机变异性的片上可变传感器的设备参数估计
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722274
Kenichi Shinkai, M. Hashimoto
Device-parameter monitoring sensors inside a chip are gaining its importance as the post-fabrication tuning is becoming of a practical use. In estimation of variational parameters using on-chip sensors, it is often assumed that the outputs of variation sensors are not affected by random variations. However, random variations can deteriorate the accuracy of the estimation result. In this paper, we propose a device-parameter estimation method with on-chip variation sensors explicitly considering random variability. The proposed method derives the global variation parameters and the standard deviation of the random variability using the maximum likelihood estimation. We experimentally verified that the proposed method can accurately estimate variations, whereas the estimation result deteriorates when neglecting random variations. We also demonstrate an application result of the proposed method to test chips fabricated in a 65-nm process technology.
芯片内的器件参数监测传感器随着制造后调谐的实际应用而变得越来越重要。在用片上传感器估计变分参数时,通常假设变分传感器的输出不受随机变化的影响。然而,随机变化会降低估计结果的准确性。在本文中,我们提出了一种明确考虑随机变异性的片上变量传感器的器件参数估计方法。该方法利用极大似然估计得到随机变率的全局变化参数和标准差。实验结果表明,该方法可以准确地估计变量,但忽略随机变量会导致估计结果变差。我们还展示了该方法在65纳米工艺芯片测试中的应用结果。
{"title":"Device-parameter estimation with on-chip variation sensors considering random variability","authors":"Kenichi Shinkai, M. Hashimoto","doi":"10.1109/ASPDAC.2011.5722274","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722274","url":null,"abstract":"Device-parameter monitoring sensors inside a chip are gaining its importance as the post-fabrication tuning is becoming of a practical use. In estimation of variational parameters using on-chip sensors, it is often assumed that the outputs of variation sensors are not affected by random variations. However, random variations can deteriorate the accuracy of the estimation result. In this paper, we propose a device-parameter estimation method with on-chip variation sensors explicitly considering random variability. The proposed method derives the global variation parameters and the standard deviation of the random variability using the maximum likelihood estimation. We experimentally verified that the proposed method can accurately estimate variations, whereas the estimation result deteriorates when neglecting random variations. We also demonstrate an application result of the proposed method to test chips fabricated in a 65-nm process technology.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"66 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114890792","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Mathematical limits of parallel computation for embedded systems 嵌入式系统并行计算的数学极限
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722269
Jason Loew, J. Elwell, D. Ponomarev, P. Madden
Embedded systems are designed to perform a specific set of tasks, and are frequently found in mobile, power-constrained environments. There is growing interest in the use of parallel computation as a means to increase performance while reducing power consumption. In this paper, we highlight fundamental limits to what can and cannot be improved by parallel resources. Many of these limitations are easily overlooked, resulting in the design of systems that, rather than improving over prior work, are in fact orders of magnitude worse.
嵌入式系统被设计用于执行一组特定的任务,并且经常出现在移动的、功率受限的环境中。人们对使用并行计算作为提高性能同时降低功耗的手段越来越感兴趣。在本文中,我们强调了并行资源可以和不能改进的基本限制。许多这些限制很容易被忽视,导致系统的设计,而不是改进先前的工作,实际上是数量级更差。
{"title":"Mathematical limits of parallel computation for embedded systems","authors":"Jason Loew, J. Elwell, D. Ponomarev, P. Madden","doi":"10.1109/ASPDAC.2011.5722269","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722269","url":null,"abstract":"Embedded systems are designed to perform a specific set of tasks, and are frequently found in mobile, power-constrained environments. There is growing interest in the use of parallel computation as a means to increase performance while reducing power consumption. In this paper, we highlight fundamental limits to what can and cannot be improved by parallel resources. Many of these limitations are easily overlooked, resulting in the design of systems that, rather than improving over prior work, are in fact orders of magnitude worse.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"5 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124730613","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Development of low power and high performance application processor (T6G) for multimedia mobile applications 为多媒体移动应用开发低功耗高性能应用处理器(T6G)
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722289
Y. Kitasho, Yu Kikuchi, T. Shimazawa, Y. Ohara, Masafumi Takahashi, Y. Masubuchi, Y. Oowaki
TOSHIBA has developed a mobile application processor for multimedia mobile applications in 40 nm with a H.264 full high-definition (full-HD) video engine and a video/audio multiprocessor for various CODECs and image processing. The application processor has 25 power domains to achieve coarse-grain power gating for adjusting to the required performance of wide range of multimedia applications. Furthermore, the application processor has Stacked Chip SoC (SCS) DRAM I/F to achieve high memory bandwidth with low power consumption.
东芝开发了一款用于40纳米多媒体移动应用的移动应用处理器,该处理器采用H.264全高清(full- hd)视频引擎和用于各种编解码器和图像处理的视频/音频多处理器。应用处理器具有25个功率域,实现粗粒度功率门控,以适应各种多媒体应用所需的性能。此外,应用处理器具有堆叠芯片SoC (SCS) DRAM I/F,以低功耗实现高内存带宽。
{"title":"Development of low power and high performance application processor (T6G) for multimedia mobile applications","authors":"Y. Kitasho, Yu Kikuchi, T. Shimazawa, Y. Ohara, Masafumi Takahashi, Y. Masubuchi, Y. Oowaki","doi":"10.1109/ASPDAC.2011.5722289","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722289","url":null,"abstract":"TOSHIBA has developed a mobile application processor for multimedia mobile applications in 40 nm with a H.264 full high-definition (full-HD) video engine and a video/audio multiprocessor for various CODECs and image processing. The application processor has 25 power domains to achieve coarse-grain power gating for adjusting to the required performance of wide range of multimedia applications. Furthermore, the application processor has Stacked Chip SoC (SCS) DRAM I/F to achieve high memory bandwidth with low power consumption.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"4 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124133285","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
An enhanced leakage-aware scheduler for dynamically reconfigurable FPGAs 一种用于动态可重构fpga的增强泄漏感知调度器
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722270
Jen-Wei Hsieh, Yuan-Hao Chang, Wei-Li Lee
The FPGAs (Field-Programmable Gate Array) are popular in hardware designs and even hardware/software co-designs. Due to the advance of manufacturing technologies, leakage power has become an important issue in the design of modern FPGAs. In particular, the partially dynamical reconfigurable FP-GAs allow the latency between FPGA reconfiguration and task execution for the performance consideration. However, this latency introduces unnecessary leakage power called leakage waste. In this work, we propose a leakage-aware scheduling algorithm to minimize the leakage waste without increasing the schedule length of tasks. In this algorithm, a priority dispatcher with a split-aware placement is proposed to reduce the scheduling complexity with considering the hardware constraints of FPGAs. A series of experiments based on synthetic designs demonstrates that the proposed algorithm could effectively reduce leakage waste with limited sacrifices on the task schedulability.
fpga(现场可编程门阵列)在硬件设计甚至硬件/软件协同设计中都很流行。由于制造技术的进步,泄漏功率已成为现代fpga设计中的一个重要问题。特别是,出于性能考虑,部分动态可重构的FP-GAs允许FPGA重构和任务执行之间的延迟。然而,这种延迟引入了不必要的泄漏功率,称为泄漏浪费。在这项工作中,我们提出了一种泄漏感知调度算法,在不增加任务调度长度的情况下最大限度地减少泄漏浪费。该算法在考虑fpga硬件约束的情况下,提出了一种具有分块感知的优先级调度器,以降低调度复杂度。基于综合设计的一系列实验表明,该算法可以有效地减少泄漏浪费,同时对任务可调度性的影响有限。
{"title":"An enhanced leakage-aware scheduler for dynamically reconfigurable FPGAs","authors":"Jen-Wei Hsieh, Yuan-Hao Chang, Wei-Li Lee","doi":"10.1109/ASPDAC.2011.5722270","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722270","url":null,"abstract":"The FPGAs (Field-Programmable Gate Array) are popular in hardware designs and even hardware/software co-designs. Due to the advance of manufacturing technologies, leakage power has become an important issue in the design of modern FPGAs. In particular, the partially dynamical reconfigurable FP-GAs allow the latency between FPGA reconfiguration and task execution for the performance consideration. However, this latency introduces unnecessary leakage power called leakage waste. In this work, we propose a leakage-aware scheduling algorithm to minimize the leakage waste without increasing the schedule length of tasks. In this algorithm, a priority dispatcher with a split-aware placement is proposed to reduce the scheduling complexity with considering the hardware constraints of FPGAs. A series of experiments based on synthetic designs demonstrates that the proposed algorithm could effectively reduce leakage waste with limited sacrifices on the task schedulability.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"32 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121853974","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
A robust ECO engine by resource-constraint-aware technology mapping and incremental routing optimization 基于资源约束感知技术映射和增量路径优化的鲁棒ECO引擎
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722218
Shao-Lun Huang, Chi-An Wu, Kai-Fu Tang, Chang-Hong Hsu, Chung-Yang Huang
ECO re-mapping is a key step in functional ECO tools. It implements a given patch function on a layout database with a limited spare cell resource. Previous ECO re-mapping algorithms are based on existing technology mappers. However, these mappers are not designed to consider the resource limitation and thus the corresponding ECO results are generally not good enough, or even become much worse when the spare cells are sparse. In this paper, we proposed a new solution for ECO remapping. It includes a robust resource-constraint-aware technology mapper and a fast incremental router for wire-length optimization. Moreover, we adopt a Pseudo-Boolean solver to search feasible solutions when the spare cells are sparse. Our experimental results show that our ECO engine can outperform the previous tool in both runtime and routing costs. We also demonstrate the robustness of our tool by performing ECOs on various spare cell limitations.
ECO重新映射是功能ECO工具的关键步骤。它使用有限的备用单元资源在布局数据库上实现给定的补丁函数。以前的ECO重新映射算法是基于现有的技术映射器。然而,这些映射器没有考虑到资源的限制,因此相应的ECO结果通常不够好,甚至在备用单元稀疏时变得更差。本文提出了一种新的ECO重映方案。它包括一个健壮的资源约束感知技术映射器和一个用于线长优化的快速增量路由器。此外,当备用单元稀疏时,我们采用伪布尔求解器来搜索可行解。实验结果表明,我们的ECO引擎在运行时间和路由成本方面都优于之前的工具。我们还通过在各种备用电池限制条件下执行eco来证明我们工具的鲁棒性。
{"title":"A robust ECO engine by resource-constraint-aware technology mapping and incremental routing optimization","authors":"Shao-Lun Huang, Chi-An Wu, Kai-Fu Tang, Chang-Hong Hsu, Chung-Yang Huang","doi":"10.1109/ASPDAC.2011.5722218","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722218","url":null,"abstract":"ECO re-mapping is a key step in functional ECO tools. It implements a given patch function on a layout database with a limited spare cell resource. Previous ECO re-mapping algorithms are based on existing technology mappers. However, these mappers are not designed to consider the resource limitation and thus the corresponding ECO results are generally not good enough, or even become much worse when the spare cells are sparse. In this paper, we proposed a new solution for ECO remapping. It includes a robust resource-constraint-aware technology mapper and a fast incremental router for wire-length optimization. Moreover, we adopt a Pseudo-Boolean solver to search feasible solutions when the spare cells are sparse. Our experimental results show that our ECO engine can outperform the previous tool in both runtime and routing costs. We also demonstrate the robustness of our tool by performing ECOs on various spare cell limitations.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"24 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121651028","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
Balanced truncation for time-delay systems via approximate Gramians 时滞系统的近似格拉姆式平衡截断
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722251
Xiang Wang, Qing Wang, Zheng Zhang, Quan Chen, N. Wong
In circuit simulation, when a large RLC network is connected with delay elements, such as transmission lines, the resulting system is a time-delay system (TDS). This paper presents a new model order reduction (MOR) scheme for TDSs with state time delays. It is the first time to reduce a TDS using balanced truncation. The Lyapunov-type equations for TDSs are derived, and an analysis of their computational complexity is presented. To reduce the computational cost, we approximate the controllability and observability Gramians in the frequency domain. The reduced-order models (ROMs) are then obtained by balancing and truncating the approximate Gramians. Numerical examples are presented to verify the accuracy and efficiency of the proposed algorithm.
在电路仿真中,当一个大的RLC网络与延迟元件(如传输线)连接时,所得到的系统是一个时延系统(TDS)。针对具有状态时滞的tds,提出了一种新的模型降阶方案。这是第一次使用平衡截断来降低TDS。导出了tds的lyapunov型方程,并对其计算复杂度进行了分析。为了减少计算量,我们在频域近似了可控性和可观察性格兰量。然后通过平衡和截断近似格兰式得到降阶模型(ROMs)。数值算例验证了该算法的准确性和有效性。
{"title":"Balanced truncation for time-delay systems via approximate Gramians","authors":"Xiang Wang, Qing Wang, Zheng Zhang, Quan Chen, N. Wong","doi":"10.1109/ASPDAC.2011.5722251","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722251","url":null,"abstract":"In circuit simulation, when a large RLC network is connected with delay elements, such as transmission lines, the resulting system is a time-delay system (TDS). This paper presents a new model order reduction (MOR) scheme for TDSs with state time delays. It is the first time to reduce a TDS using balanced truncation. The Lyapunov-type equations for TDSs are derived, and an analysis of their computational complexity is presented. To reduce the computational cost, we approximate the controllability and observability Gramians in the frequency domain. The reduced-order models (ROMs) are then obtained by balancing and truncating the approximate Gramians. Numerical examples are presented to verify the accuracy and efficiency of the proposed algorithm.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"332 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123326933","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 21
期刊
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1