首页 > 最新文献

16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)最新文献

英文 中文
Geyser-2: The second prototype CPU with fine-grained run-time power gating Geyser-2:第二个具有细粒度运行时功率门控的原型CPU
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722310
Lei Zhao, D. Ikebuchi, Yoshiki Saito, M. Kamata, N. Seki, Y. Kojima, H. Amano, S. Koyama, T. Hashida, Y. Umahashi, D. Masuda, K. Usami, K. Kimura, M. Namiki, S. Takeda, Hiroshi Nakamura, Masaaki Kondo
Geyser-2 is the second prototype MIPS CPU which provides a fine-grained run-time power gating (PG) controlled by instructions. Geyser-1[1], the first prototype only provides the fine-grained run-time PG core. Although it demonstrated the leakage power reduction on a real chip, the operational frequency is limited at 60MHz because of the limitation of the I/O speed. Geyser-2 with cache and TLB mechanism is implemented to show (1) run-time PG works at least with 200MHz which is commonly used clock for embedded systems, and (2) it is also efficient on the environment with real application programs with an operating system.
Geyser-2是第二个原型MIPS CPU,它提供了一个由指令控制的细粒度运行时功率门控(PG)。Geyser-1[1],第一个原型只提供细粒度的运行时PG核心。虽然它在实际芯片上展示了泄漏功率的降低,但由于I/O速度的限制,工作频率限制在60MHz。Geyser-2的缓存和TLB机制的实现表明:(1)运行时PG至少可以在嵌入式系统常用的200MHz时钟下工作;(2)它在具有操作系统的实际应用程序环境下也是高效的。
{"title":"Geyser-2: The second prototype CPU with fine-grained run-time power gating","authors":"Lei Zhao, D. Ikebuchi, Yoshiki Saito, M. Kamata, N. Seki, Y. Kojima, H. Amano, S. Koyama, T. Hashida, Y. Umahashi, D. Masuda, K. Usami, K. Kimura, M. Namiki, S. Takeda, Hiroshi Nakamura, Masaaki Kondo","doi":"10.1109/ASPDAC.2011.5722310","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722310","url":null,"abstract":"Geyser-2 is the second prototype MIPS CPU which provides a fine-grained run-time power gating (PG) controlled by instructions. Geyser-1[1], the first prototype only provides the fine-grained run-time PG core. Although it demonstrated the leakage power reduction on a real chip, the operational frequency is limited at 60MHz because of the limitation of the I/O speed. Geyser-2 with cache and TLB mechanism is implemented to show (1) run-time PG works at least with 200MHz which is commonly used clock for embedded systems, and (2) it is also efficient on the environment with real application programs with an operating system.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"65 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128211706","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
Jitter amplifier for oscillator-based true random number generator 基于振荡器的真随机数发生器抖动放大器
Pub Date : 2011-01-25 DOI: 10.1587/TRANSFUN.E96.A.684
Takehiko Amaki, M. Hashimoto, T. Onoye
This paper presents a jitter amplifier for oscillator-based TRNG (true random number generator). The proposed jitter amplifier fabricated in a 65nm CMOS process occupying the area of 3,300 μm2 archives 8.4× gain at 25°C and significantly improves the entropy enough to pass randomness test.
提出了一种用于真随机数发生器(TRNG)的抖动放大器。该抖动放大器采用65nm CMOS工艺制作,面积为3300 μm2,在25°C时获得8.4倍增益,并显著提高了熵,足以通过随机性测试。
{"title":"Jitter amplifier for oscillator-based true random number generator","authors":"Takehiko Amaki, M. Hashimoto, T. Onoye","doi":"10.1587/TRANSFUN.E96.A.684","DOIUrl":"https://doi.org/10.1587/TRANSFUN.E96.A.684","url":null,"abstract":"This paper presents a jitter amplifier for oscillator-based TRNG (true random number generator). The proposed jitter amplifier fabricated in a 65nm CMOS process occupying the area of 3,300 μm2 archives 8.4× gain at 25°C and significantly improves the entropy enough to pass randomness test.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"13 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129414502","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
TurboVG: A HW/SW co-designed multi-core OpenVG accelerator for vector graphics applications with embedded power profiler TurboVG: HW/SW共同设计的多核OpenVG加速器,用于带有嵌入式功率分析器的矢量图形应用程序
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722315
Shuo-Hung Chen, Hsiao-Mei Lin, Ching-Chou Hsieh, Chih-Tsun Huang, J. Liou, Yeh-Ching Chung
TurboVG is a hardware accelerator for the OpenVG 1.1 library that operates sixteen times faster than an optimized software implementation. This improved efficiency stems from a well-designed hardware-software interaction capable of handling massive data transfers across hierarchical layers without performance loss. By combining multiple TurboVG cores, the library can support screen resolutions of up to Full-HD 1080p.
TurboVG是OpenVG 1.1库的硬件加速器,其运行速度比优化的软件实现快16倍。这种改进的效率源于设计良好的硬件软件交互,能够在不损失性能的情况下处理跨分层层的大量数据传输。通过组合多个TurboVG内核,该库可以支持高达Full-HD 1080p的屏幕分辨率。
{"title":"TurboVG: A HW/SW co-designed multi-core OpenVG accelerator for vector graphics applications with embedded power profiler","authors":"Shuo-Hung Chen, Hsiao-Mei Lin, Ching-Chou Hsieh, Chih-Tsun Huang, J. Liou, Yeh-Ching Chung","doi":"10.1109/ASPDAC.2011.5722315","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722315","url":null,"abstract":"TurboVG is a hardware accelerator for the OpenVG 1.1 library that operates sixteen times faster than an optimized software implementation. This improved efficiency stems from a well-designed hardware-software interaction capable of handling massive data transfers across hierarchical layers without performance loss. By combining multiple TurboVG cores, the library can support screen resolutions of up to Full-HD 1080p.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130069946","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Efficient multi-layer obstacle-avoiding preferred direction rectilinear Steiner tree construction 高效多层避障优选方向直线斯坦纳树构造
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722246
Jia-Ru Chuang, Jai-Ming Lin
Constructing rectilinear Steiner trees for signal nets is a very important procedure for placement and routing because we can use it to find topologies of nets and measure the design quality. However, in modern VLSI designs, pins are located in multiple routing layers, each routing layer has its own preferred direction, and there exist numerous routing obstacles incurred from IP blocks, power networks, pre-routed nets, etc, which make us need to consider multilayer obstacle-avoiding preferred direction rectilinear Steiner minimal tree (ML-OAPDRSMT) problem. This significantly increases the complexity of the problem, and an efficient and effective algorithm to deal with the problem is desired. In this paper, we propose a very simple and effective approach to deal with ML-OAPDRSMT problem. Unlike previous works usually build a spanning graph and find a spanning tree to deal with this problem, which takes a lot of time, we first determine a connection ordering for all pins, and then iteratively connect every two neighboring pins by a greedy heuristic algorithm. The experimental results show that our method has average 5.78% improvement over [7] and at least five times speed up comparing with their approach.
为信号网构造直线斯坦纳树是一个非常重要的步骤,因为我们可以用它来发现网络的拓扑结构和测量设计质量。然而,在现代VLSI设计中,引脚位于多个路由层中,每个路由层都有自己的首选方向,并且存在来自IP块,电网,预路由网等的众多路由障碍,这使得我们需要考虑多层避障首选方向直线斯坦纳最小树(ML-OAPDRSMT)问题。这大大增加了问题的复杂性,需要一种高效的算法来处理这个问题。本文提出了一种简单有效的ML-OAPDRSMT问题处理方法。不像以往的工作通常是建立一个生成图并找到一个生成树来处理这个问题,这需要花费大量的时间,我们首先确定所有引脚的连接顺序,然后通过贪婪启发式算法迭代连接每两个相邻的引脚。实验结果表明,我们的方法比[7]平均提高了5.78%,速度比他们的方法提高了至少5倍。
{"title":"Efficient multi-layer obstacle-avoiding preferred direction rectilinear Steiner tree construction","authors":"Jia-Ru Chuang, Jai-Ming Lin","doi":"10.1109/ASPDAC.2011.5722246","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722246","url":null,"abstract":"Constructing rectilinear Steiner trees for signal nets is a very important procedure for placement and routing because we can use it to find topologies of nets and measure the design quality. However, in modern VLSI designs, pins are located in multiple routing layers, each routing layer has its own preferred direction, and there exist numerous routing obstacles incurred from IP blocks, power networks, pre-routed nets, etc, which make us need to consider multilayer obstacle-avoiding preferred direction rectilinear Steiner minimal tree (ML-OAPDRSMT) problem. This significantly increases the complexity of the problem, and an efficient and effective algorithm to deal with the problem is desired. In this paper, we propose a very simple and effective approach to deal with ML-OAPDRSMT problem. Unlike previous works usually build a spanning graph and find a spanning tree to deal with this problem, which takes a lot of time, we first determine a connection ordering for all pins, and then iteratively connect every two neighboring pins by a greedy heuristic algorithm. The experimental results show that our method has average 5.78% improvement over [7] and at least five times speed up comparing with their approach.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114963641","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
EUV lithography: Prospects and challenges 极紫外光刻:前景与挑战
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722221
S. Sivakumar
Integrated circuit scaling as codified in Moore's Law has been enabled through the tremendous advances in lithographic patterning technology over multiple process generations. Optical lithography has been the mainstay of patterning technology to date. Its imminent demise has been oft proclaimed over the years but clever engineering has consistently been able to extend it through many lens size and wavelength changes. NA has increased steadily from about 0.3 to 1.35 today with improvements in lens design and the use of immersion lithography. Simultaneously, the illumination wavelength has been reduced from 436nm about 20 years ago to 193nm for state-of-the-art scanners today. However, this approach has reached its limits. The 22nm technology node, targeted for HVM in 2011, represents the last instance of using standard 1.35NA immersion lithography-based patterning for the critical layers with a k¡ hovering right around the 0.3 value that is considered acceptable for manufacturability For the 14nm node with a HVM date of 2013, one has to resort to double patterning to achieve a manufacturable. k1 For the 10nm technology node with a 2015 HVM date, double patterning will also be insufficient. While further ArF extension schemes are being considered, the industry is working towards lowering the wavelength from 193nm to Extreme Ultraviolet Lithography with a λ of 13.5nm. EUV offers the prospect of operating at significantly higher k¡ and as a consequence, much simpler design rules and potentially simpler OPC. However, the technical challenges are formidable. EUV lithography requires the re-engineering of every subsystem in the optical path — source, collector and projection optics, reticles and photoresists. A huge industry-wide effort is under way to solve these technical issues and bring 13.5nm EUV lithography to production. Two main approaches are being considered for EUV sources — Laser Produced Plasma (LPP) and Discharge Produced Plasma (DPP). Both approaches appear to be heading towards production and it remains to be seen if one approach is more scalable to higher power levels. Currently however, neither approach is close to the power levels required to deliver runrates that will have a reasonable Cost of Ownership (COO). Clearly, a lot of development is ahead to make this happen. Photoresists have also seen a significant amount of technical development, primarily using small field Micro Exposure Tools (MET). Photoresist companies are working on developing the chemical platforms needed for EUV photoresists. While much progress has been made on photospeed, resolution and linewidth roughness, further improvements are required to meet the needs of the 14nm and 10nm process nodes. Since EUV employs reflective optics, EUV reticles are reflective as well and this poses several challenges. Apart from the obvious complexities of EUV reticle manufacturing, defectivity is a major concern, both from the standpoint of making defect-free masks as well as fro
本文将尝试强调EUV光刻的关键技术挑战,以及行业在未来两年内需要重点努力的地方,以使EUV制造成功并具有成本效益。
{"title":"EUV lithography: Prospects and challenges","authors":"S. Sivakumar","doi":"10.1109/ASPDAC.2011.5722221","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722221","url":null,"abstract":"Integrated circuit scaling as codified in Moore's Law has been enabled through the tremendous advances in lithographic patterning technology over multiple process generations. Optical lithography has been the mainstay of patterning technology to date. Its imminent demise has been oft proclaimed over the years but clever engineering has consistently been able to extend it through many lens size and wavelength changes. NA has increased steadily from about 0.3 to 1.35 today with improvements in lens design and the use of immersion lithography. Simultaneously, the illumination wavelength has been reduced from 436nm about 20 years ago to 193nm for state-of-the-art scanners today. However, this approach has reached its limits. The 22nm technology node, targeted for HVM in 2011, represents the last instance of using standard 1.35NA immersion lithography-based patterning for the critical layers with a k¡ hovering right around the 0.3 value that is considered acceptable for manufacturability For the 14nm node with a HVM date of 2013, one has to resort to double patterning to achieve a manufacturable. k1 For the 10nm technology node with a 2015 HVM date, double patterning will also be insufficient. While further ArF extension schemes are being considered, the industry is working towards lowering the wavelength from 193nm to Extreme Ultraviolet Lithography with a λ of 13.5nm. EUV offers the prospect of operating at significantly higher k¡ and as a consequence, much simpler design rules and potentially simpler OPC. However, the technical challenges are formidable. EUV lithography requires the re-engineering of every subsystem in the optical path — source, collector and projection optics, reticles and photoresists. A huge industry-wide effort is under way to solve these technical issues and bring 13.5nm EUV lithography to production. Two main approaches are being considered for EUV sources — Laser Produced Plasma (LPP) and Discharge Produced Plasma (DPP). Both approaches appear to be heading towards production and it remains to be seen if one approach is more scalable to higher power levels. Currently however, neither approach is close to the power levels required to deliver runrates that will have a reasonable Cost of Ownership (COO). Clearly, a lot of development is ahead to make this happen. Photoresists have also seen a significant amount of technical development, primarily using small field Micro Exposure Tools (MET). Photoresist companies are working on developing the chemical platforms needed for EUV photoresists. While much progress has been made on photospeed, resolution and linewidth roughness, further improvements are required to meet the needs of the 14nm and 10nm process nodes. Since EUV employs reflective optics, EUV reticles are reflective as well and this poses several challenges. Apart from the obvious complexities of EUV reticle manufacturing, defectivity is a major concern, both from the standpoint of making defect-free masks as well as fro","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"65 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116059144","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Mask cost reduction with circuit performance consideration for self-aligned double patterning 考虑自对准双模的电路性能,降低掩模成本
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722296
Hongbo Zhang, Yuelin Du, Martin D. F. Wong, Kai-Yuan Chao
Double patterning lithography (DPL) is the enabling technology for printing in sub-32nm nodes. In the EDA literature, researchers have been focusing on double-exposure double-patterning (DEDP) DPL for printing arbitrary 2D features where the layout decomposition problem for double exposure is an interesting graph coloring problem. But due to overlay errors, it is very difficult for DEDP to print even 1D features. A more promising DPL technology is self-aligned double patterning (SADP) for 1D design. SADP first prints dense lines and then trims away the portions not on the design by a cut mask. The complexity of cut mask is very high, adding to the skyrocketing manufacturing cost. In this paper we present a mask cost reduction method with circuit performance consideration for SADP. This is the first paper to focus on the mask cost reduction issue for SADP from a design perspective. We simplify the polygons on the cut mask, by formulating the problem as a constrained shortest path problem. Experimental results show that with a set of layouts in 28nm technology, we can largely reduce the complexity of cut polygons, with little impact on performance.
双图案光刻(DPL)是在32nm以下节点上印刷的使能技术。在EDA文献中,研究人员一直关注用于打印任意2D特征的双曝光双图案DPL,其中双曝光的布局分解问题是一个有趣的图形着色问题。但是由于叠加误差的存在,DEDP很难打印出均匀的一维特征。一种更有前途的DPL技术是用于一维设计的自对准双图案(SADP)。SADP首先打印密集的线条,然后通过剪切掩模修剪掉设计上没有的部分。切割口罩的复杂性非常高,增加了飙升的制造成本。本文提出了一种考虑电路性能的SADP掩模成本降低方法。这是第一篇从设计角度关注SADP掩模成本降低问题的论文。通过将问题表述为约束最短路径问题,我们简化了剪切掩模上的多边形。实验结果表明,采用28nm技术的一组布局,可以大大降低切割多边形的复杂性,而对性能的影响很小。
{"title":"Mask cost reduction with circuit performance consideration for self-aligned double patterning","authors":"Hongbo Zhang, Yuelin Du, Martin D. F. Wong, Kai-Yuan Chao","doi":"10.1109/ASPDAC.2011.5722296","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722296","url":null,"abstract":"Double patterning lithography (DPL) is the enabling technology for printing in sub-32nm nodes. In the EDA literature, researchers have been focusing on double-exposure double-patterning (DEDP) DPL for printing arbitrary 2D features where the layout decomposition problem for double exposure is an interesting graph coloring problem. But due to overlay errors, it is very difficult for DEDP to print even 1D features. A more promising DPL technology is self-aligned double patterning (SADP) for 1D design. SADP first prints dense lines and then trims away the portions not on the design by a cut mask. The complexity of cut mask is very high, adding to the skyrocketing manufacturing cost. In this paper we present a mask cost reduction method with circuit performance consideration for SADP. This is the first paper to focus on the mask cost reduction issue for SADP from a design perspective. We simplify the polygons on the cut mask, by formulating the problem as a constrained shortest path problem. Experimental results show that with a set of layouts in 28nm technology, we can largely reduce the complexity of cut polygons, with little impact on performance.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"22 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128681569","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
Run-time adaptable on-chip thermal triggers 运行时可适应芯片上的热触发器
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722194
Pratyush Kumar, David Atienza Alonso
With ever-increasing power densities, Dynamic Thermal Management (DTM) techniques have become mainstream in today's systems. An important component of such techniques is the thermal trigger. It has been shown that predictive thermal triggers can outperform reactive ones [4]. In this paper, we present a novel trade-off space of predictive thermal triggers, and compare different approaches proposed in the literature. We argue that run-time adaptability is a crucial parameter of interest. We present a run-time adaptable thermal simulator compatible with arbitrary sensor configuration based on the Neural Network (NN) simulator presented in [14]. We present experimental results on Niagara UltraSPARC T1 chip with real-life benchmark applications. Our results quantitatively establish the effectiveness of the proposed simulator for reducing (by up to 90%), the otherwise unacceptably high errors, that can arise due to expected leakage current variation and design-time thermal modeling errors.
随着功率密度的不断提高,动态热管理(DTM)技术已成为当今系统的主流。这种技术的一个重要组成部分是热触发器。已有研究表明,预测性热触发器的性能优于反应性触发器[4]。在本文中,我们提出了一种新的预测热触发的权衡空间,并比较了文献中提出的不同方法。我们认为运行时适应性是一个重要的参数。我们在文献[14]中提出的神经网络(NN)模拟器的基础上,提出了一种可与任意传感器配置兼容的运行时自适应热模拟器。本文介绍了Niagara UltraSPARC T1芯片的实验结果。我们的结果定量地确定了所提出的模拟器的有效性,以减少(高达90%),否则不可接受的高误差,这可能是由于预期的泄漏电流变化和设计时热建模误差引起的。
{"title":"Run-time adaptable on-chip thermal triggers","authors":"Pratyush Kumar, David Atienza Alonso","doi":"10.1109/ASPDAC.2011.5722194","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722194","url":null,"abstract":"With ever-increasing power densities, Dynamic Thermal Management (DTM) techniques have become mainstream in today's systems. An important component of such techniques is the thermal trigger. It has been shown that predictive thermal triggers can outperform reactive ones [4]. In this paper, we present a novel trade-off space of predictive thermal triggers, and compare different approaches proposed in the literature. We argue that run-time adaptability is a crucial parameter of interest. We present a run-time adaptable thermal simulator compatible with arbitrary sensor configuration based on the Neural Network (NN) simulator presented in [14]. We present experimental results on Niagara UltraSPARC T1 chip with real-life benchmark applications. Our results quantitatively establish the effectiveness of the proposed simulator for reducing (by up to 90%), the otherwise unacceptably high errors, that can arise due to expected leakage current variation and design-time thermal modeling errors.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"40 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132798547","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A 80–400 MHz 74 dB-DR Gm-C low-pass filter with a unique auto-tuning system 一个80 - 400mhz 74 dB-DR Gm-C低通滤波器,具有独特的自动调谐系统
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722165
Ting Gao, Wei Li, Ning Li, Junyan Ren
A CMOS 80–400 MHz 5TH order Chebyshev Gm-C low-pass filter with a unique auto tuning system is presented. The filter was designed and fabricated with TSMC 0.13-μm RF CMOS process. Experimental results show that the cut-off frequency of the filter can be tuned between 80–400 MHz, with a tuning step less than 7MHz and an average tuning error of 3.6%. The filter also realizes gain of 0–30 dB, IIP3 of 16.5 dBm and NF of 14–18 dB. Dynamic range of the filter for THD less than 1% is 74 dB. Power dissipation is only 9 mW with 1.2 V supply voltage.
提出了一种具有独特自动调谐系统的80 - 400mhz 5阶切比雪夫Gm-C低通滤波器。该滤波器采用TSMC 0.13-μm RF CMOS工艺设计制作。实验结果表明,该滤波器的截止频率可在80 ~ 400 MHz之间进行调谐,调谐步长小于7MHz,平均调谐误差为3.6%。该滤波器还实现了0-30 dB的增益,16.5 dBm的IIP3和14-18 dB的NF。该滤波器在THD小于1%时的动态范围为74 dB。在1.2 V供电电压下,功耗仅为9mw。
{"title":"A 80–400 MHz 74 dB-DR Gm-C low-pass filter with a unique auto-tuning system","authors":"Ting Gao, Wei Li, Ning Li, Junyan Ren","doi":"10.1109/ASPDAC.2011.5722165","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722165","url":null,"abstract":"A CMOS 80–400 MHz 5TH order Chebyshev Gm-C low-pass filter with a unique auto tuning system is presented. The filter was designed and fabricated with TSMC 0.13-μm RF CMOS process. Experimental results show that the cut-off frequency of the filter can be tuned between 80–400 MHz, with a tuning step less than 7MHz and an average tuning error of 3.6%. The filter also realizes gain of 0–30 dB, IIP3 of 16.5 dBm and NF of 14–18 dB. Dynamic range of the filter for THD less than 1% is 74 dB. Power dissipation is only 9 mW with 1.2 V supply voltage.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121804222","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
AdaMS: Adaptive MLC/SLC phase-change memory design for file storage 用于文件存储的自适应MLC/SLC相变存储器设计
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722206
Xiangyu Dong, Yuan Xie
Phase-change memory (PCM) is an emerging memory technology that has made rapid progress in the recent years, and surpasses other technologies such as FeRAM and MRAM in terms of scalability. Recently, the feasibility of multi-level cell (MLC) for PCM, which enables a cell to store more than one bit of digital data, has also been shown. This new property makes PCM more competitive and considered as the successor of the NAND flash technology, which also has the MLC capability but does not have an easy scaling path to reach higher densities. However, the MLC capability of PCM comes with the penalty of longer programming time and shortened cell lifetime compared to its single-level cell (SLC) mode. Therefore, it suggests an adaptive MLC/SLC reconfigurable PCM design that can exploit the fast SLC access speed and the large MLC capacity with the awareness of workload characteristics and lifetime requirements. In this work, a circuit-level adaptive MLC/SLC PCM array is designed at first, the management policy of MLC/SLC mode is proposed, and finally the performance and lifetime of a novel PCM-based SSD with run-time MLC/SLC reconfiguration ability is evaluated1.
相变存储器(PCM)是近年来发展迅速的一种新兴存储技术,在可扩展性方面超过了FeRAM和MRAM等其他存储技术。最近,用于PCM的多级单元(MLC)的可行性也得到了证明,它使一个单元能够存储超过1位的数字数据。这种新特性使PCM更具竞争力,并被认为是NAND闪存技术的继承者,NAND闪存也具有MLC能力,但没有容易的缩放路径来达到更高的密度。然而,与单级电池(SLC)模式相比,PCM的MLC能力带来了更长的编程时间和更短的电池寿命。因此,本文提出了一种自适应MLC/SLC可重构PCM设计,该设计既能利用SLC访问速度快、MLC容量大的特点,又能满足工作负载特性和寿命要求。本文首先设计了一种电路级自适应MLC/SLC PCM阵列,提出了MLC/SLC模式的管理策略,最后评估了一种具有运行时MLC/SLC重构能力的基于PCM的新型固态硬盘的性能和寿命。
{"title":"AdaMS: Adaptive MLC/SLC phase-change memory design for file storage","authors":"Xiangyu Dong, Yuan Xie","doi":"10.1109/ASPDAC.2011.5722206","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722206","url":null,"abstract":"Phase-change memory (PCM) is an emerging memory technology that has made rapid progress in the recent years, and surpasses other technologies such as FeRAM and MRAM in terms of scalability. Recently, the feasibility of multi-level cell (MLC) for PCM, which enables a cell to store more than one bit of digital data, has also been shown. This new property makes PCM more competitive and considered as the successor of the NAND flash technology, which also has the MLC capability but does not have an easy scaling path to reach higher densities. However, the MLC capability of PCM comes with the penalty of longer programming time and shortened cell lifetime compared to its single-level cell (SLC) mode. Therefore, it suggests an adaptive MLC/SLC reconfigurable PCM design that can exploit the fast SLC access speed and the large MLC capacity with the awareness of workload characteristics and lifetime requirements. In this work, a circuit-level adaptive MLC/SLC PCM array is designed at first, the management policy of MLC/SLC mode is proposed, and finally the performance and lifetime of a novel PCM-based SSD with run-time MLC/SLC reconfiguration ability is evaluated1.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"36 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121994975","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 78
A practical method for multi-domain clock skew optimization 一种实用的多域时钟偏差优化方法
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722245
Yanling Zhi, H. Zhou, Xuan Zeng
Clock skew scheduling is an effective technique in performance optimization of sequential circuits. However, with process variations, it becomes more difficult to reliably implement a wide spectrum of clock delays at the registers. Multidomain clock skew scheduling is a good option to overcome this limitation. In this paper, we propose a practical method to efficiently and optimally solve this problem. A framework based on branch-and-bound is carefully designed to search for the optimal clocking domain assignment, and a greedy clustering algorithm is developed to quickly estimate the upper bound of cycle period for a given branch. Experiment results on ISCAS89 sequential benchmarks show both the optimality and efficiency of our method compared with previous works.
时钟偏差调度是顺序电路性能优化的一种有效方法。然而,随着进程的变化,在寄存器上可靠地实现大范围的时钟延迟变得更加困难。多域时钟倾斜调度是克服这一限制的一个很好的选择。在本文中,我们提出了一种实用的方法来有效和最优地解决这一问题。精心设计了一个基于分支定界的框架来搜索时钟域的最优分配,开发了一种贪婪聚类算法来快速估计给定分支的周期上界。在ISCAS89串行基准测试上的实验结果表明,与以往的工作相比,我们的方法具有最优性和效率。
{"title":"A practical method for multi-domain clock skew optimization","authors":"Yanling Zhi, H. Zhou, Xuan Zeng","doi":"10.1109/ASPDAC.2011.5722245","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722245","url":null,"abstract":"Clock skew scheduling is an effective technique in performance optimization of sequential circuits. However, with process variations, it becomes more difficult to reliably implement a wide spectrum of clock delays at the registers. Multidomain clock skew scheduling is a good option to overcome this limitation. In this paper, we propose a practical method to efficiently and optimally solve this problem. A framework based on branch-and-bound is carefully designed to search for the optimal clocking domain assignment, and a greedy clustering algorithm is developed to quickly estimate the upper bound of cycle period for a given branch. Experiment results on ISCAS89 sequential benchmarks show both the optimality and efficiency of our method compared with previous works.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"17 1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125940781","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
期刊
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1