首页 > 最新文献

2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)最新文献

英文 中文
Preemptive multi-bit IJTAG testing with reconfigurable infrastructure 具有可重构基础结构的抢占式多比特IJTAG测试
S. Keshavarz, Amirreza Nekooei, Z. Navabi
Technology scaling, increasing transistor density, and design complexity poses new challenges in testing of digital systems. IJTAG is a new proposed standard to access embedded instruments in a chip. However, with growing complexity of embedded chips, shifting data serially might result in high test application time. In this paper, a preemptive parallel test scheduling method for IJTAG environment is introduced to reduce test application time while considering maximum power limitation. Furthermore, an architecture is proposed to support fully reconfigurable multi-bit IJTAG architecture that could be changed at runtime. Experimental results show that applying the proposed method for the framework results in test application time reduction in comparison with other existing methods.
技术的规模化、晶体管密度的增加以及设计的复杂性对数字系统的测试提出了新的挑战。IJTAG是一个新提出的标准,用于访问芯片中的嵌入式仪器。然而,随着嵌入式芯片的日益复杂,数据的连续移动可能会导致测试应用时间的增加。提出了一种适用于IJTAG环境的抢占式并行测试调度方法,在考虑最大功率限制的情况下减少测试应用时间。此外,提出了一种支持完全可重构的多比特IJTAG体系结构的体系结构,该体系结构可以在运行时进行更改。实验结果表明,与其他现有方法相比,将该方法应用于该框架可减少测试应用时间。
{"title":"Preemptive multi-bit IJTAG testing with reconfigurable infrastructure","authors":"S. Keshavarz, Amirreza Nekooei, Z. Navabi","doi":"10.1109/DFT.2014.6962089","DOIUrl":"https://doi.org/10.1109/DFT.2014.6962089","url":null,"abstract":"Technology scaling, increasing transistor density, and design complexity poses new challenges in testing of digital systems. IJTAG is a new proposed standard to access embedded instruments in a chip. However, with growing complexity of embedded chips, shifting data serially might result in high test application time. In this paper, a preemptive parallel test scheduling method for IJTAG environment is introduced to reduce test application time while considering maximum power limitation. Furthermore, an architecture is proposed to support fully reconfigurable multi-bit IJTAG architecture that could be changed at runtime. Experimental results show that applying the proposed method for the framework results in test application time reduction in comparison with other existing methods.","PeriodicalId":414665,"journal":{"name":"2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)","volume":"21 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2014-11-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125252008","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
A built-in calibration system with a reduced FFT engine for linearity optimization of low power LNA 内置校准系统与减少FFT引擎,用于低功率LNA的线性优化
Yongsuk Choi, Chun-hsiang Chang, In-Seok Jung, M. Onabajo, Yong-Bin Kim
A digital built-in calibration (BIC) system with a power and area optimized on-chip fast Fourier transform (FFT) engine is presented to automatically adjust the linearity of a tunable RF low-noise amplifier (LNA) operating at 2.4GHz. An envelope detection circuit is used to extract the linearity characteristics at low frequencies, enabling the sampling and digital signal processing at low rates. To compensate the low gain of an envelope detector and to enhance reliability of spectral analysis, an RF amplifier is designed between the LNA and the envelope detector. The output of the envelope detector is digitized before the spectrum calculation with the integrated FFT for estimation of the third-order intermodulation (IM3) distortion specification of the LNA. The digitally-assisted closed-loop calibration scheme is demonstrated with simulations using a two-tone test with 1MHz tone spacing, a 512-point FFT engine, a 10-bit analog-to-digital converter model, and digital blocks operating with a 51.2MHz clock frequency. The total time required for calibration is 485μs including delays of 1.2μs to allow settling of the LNA output after capacitor array changes for tuning. In order to validate the proposed BIC technique with device mismatch effects, Monte Carlo simulations are performed with the same condition at transient simulations, where the results are well matched with the optimum IM3 component values calculated at the output node of LNA. The digital blocks were implemented using a standard 0.13μm CMOS technology.
提出了一种基于功率和面积优化的片上快速傅立叶变换(FFT)引擎的数字内置校准(BIC)系统,用于自动调节工作在2.4GHz的可调谐射频低噪声放大器(LNA)的线性度。采用包络检测电路提取低频线性特性,实现低速率的采样和数字信号处理。为了补偿包络检测器的低增益和提高频谱分析的可靠性,在LNA和包络检测器之间设计了射频放大器。在进行频谱计算之前,对包络检测器的输出进行数字化处理,利用积分FFT估计LNA的三阶互调(IM3)失真规格。数字辅助闭环校准方案通过使用1MHz音调间隔的双音测试、512点FFT引擎、10位模数转换器模型和以51.2MHz时钟频率工作的数字块进行仿真验证。校准所需的总时间为485μs,其中包括1.2μs的延迟,以便在电容器阵列改变后调整LNA输出。为了在器件失配效应下验证所提出的BIC技术,在瞬态仿真中,在相同的条件下进行了蒙特卡罗模拟,结果与LNA输出节点计算的最佳IM3分量值匹配良好。数字模块采用标准的0.13μm CMOS技术实现。
{"title":"A built-in calibration system with a reduced FFT engine for linearity optimization of low power LNA","authors":"Yongsuk Choi, Chun-hsiang Chang, In-Seok Jung, M. Onabajo, Yong-Bin Kim","doi":"10.1109/DFT.2014.6962077","DOIUrl":"https://doi.org/10.1109/DFT.2014.6962077","url":null,"abstract":"A digital built-in calibration (BIC) system with a power and area optimized on-chip fast Fourier transform (FFT) engine is presented to automatically adjust the linearity of a tunable RF low-noise amplifier (LNA) operating at 2.4GHz. An envelope detection circuit is used to extract the linearity characteristics at low frequencies, enabling the sampling and digital signal processing at low rates. To compensate the low gain of an envelope detector and to enhance reliability of spectral analysis, an RF amplifier is designed between the LNA and the envelope detector. The output of the envelope detector is digitized before the spectrum calculation with the integrated FFT for estimation of the third-order intermodulation (IM3) distortion specification of the LNA. The digitally-assisted closed-loop calibration scheme is demonstrated with simulations using a two-tone test with 1MHz tone spacing, a 512-point FFT engine, a 10-bit analog-to-digital converter model, and digital blocks operating with a 51.2MHz clock frequency. The total time required for calibration is 485μs including delays of 1.2μs to allow settling of the LNA output after capacitor array changes for tuning. In order to validate the proposed BIC technique with device mismatch effects, Monte Carlo simulations are performed with the same condition at transient simulations, where the results are well matched with the optimum IM3 component values calculated at the output node of LNA. The digital blocks were implemented using a standard 0.13μm CMOS technology.","PeriodicalId":414665,"journal":{"name":"2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)","volume":"31 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2014-11-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116817159","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Triggering Trojans in SRAM circuits with X-propagation 基于x传播的SRAM电路中触发木马
Senwen Kan, Jennifer Dworak
Over the past several years, there has been growing concern regarding the possibility that Hardware Trojan Horse circuits may be present in 3rd party IP. In this paper, we focus specifically on 3rd party IP related to Static Random-Access Memories (SRAMs), and we demonstrate that some Trojans in production-worthy SRAM circuits can easily evade standard verification techniques. We then describe a novel Trojan detection mechanism based on X-propagation during functional simulation of verification vectors. Our experiments from a silicon-worthy verification environment illustrate that our techniques can be significantly more effective at Trojan detection than standard SRAM verification practices.
在过去的几年里,越来越多的人担心硬件特洛伊木马电路可能存在于第三方IP中。在本文中,我们特别关注与静态随机存取存储器(SRAM)相关的第三方IP,并且我们证明了一些具有生产价值的SRAM电路中的特洛伊木马可以很容易地逃避标准验证技术。然后,我们在验证向量的功能仿真中描述了一种基于x传播的木马检测机制。我们在一个有价值的硅验证环境中进行的实验表明,我们的技术在特洛伊木马检测方面比标准的SRAM验证实践更有效。
{"title":"Triggering Trojans in SRAM circuits with X-propagation","authors":"Senwen Kan, Jennifer Dworak","doi":"10.1109/DFT.2014.6962105","DOIUrl":"https://doi.org/10.1109/DFT.2014.6962105","url":null,"abstract":"Over the past several years, there has been growing concern regarding the possibility that Hardware Trojan Horse circuits may be present in 3rd party IP. In this paper, we focus specifically on 3rd party IP related to Static Random-Access Memories (SRAMs), and we demonstrate that some Trojans in production-worthy SRAM circuits can easily evade standard verification techniques. We then describe a novel Trojan detection mechanism based on X-propagation during functional simulation of verification vectors. Our experiments from a silicon-worthy verification environment illustrate that our techniques can be significantly more effective at Trojan detection than standard SRAM verification practices.","PeriodicalId":414665,"journal":{"name":"2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)","volume":"8 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2014-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121987766","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Shortest path reduction in a class of uniform fault tolerant networks 一类一致容错网络的最短路径约简
Prashant D. Joshi, S. Hamdioui
Shortest path determination in a class of optimally fault tolerant networks designed using modified line graphs is described here. Appropriate node naming allows the shortest paths to be determined in 0(log n) steps. This is applicable even in the presence of node failures, without loops or backtracking. The stretch of the network is maintained at the theoretically minimum value possible of one.
本文描述了一类使用改进线形图设计的最优容错网络的最短路径确定。适当的节点命名允许在0(log n)步中确定最短路径。这即使在存在节点故障的情况下也适用,没有循环或回溯。网络的延伸保持在理论值可能的最小值1。
{"title":"Shortest path reduction in a class of uniform fault tolerant networks","authors":"Prashant D. Joshi, S. Hamdioui","doi":"10.1109/DFT.2014.6962102","DOIUrl":"https://doi.org/10.1109/DFT.2014.6962102","url":null,"abstract":"Shortest path determination in a class of optimally fault tolerant networks designed using modified line graphs is described here. Appropriate node naming allows the shortest paths to be determined in 0(log n) steps. This is applicable even in the presence of node failures, without loops or backtracking. The stretch of the network is maintained at the theoretically minimum value possible of one.","PeriodicalId":414665,"journal":{"name":"2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)","volume":"41 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2014-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123766217","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Diagnostic self-test for dynamically scheduled superscalar processors based on reconfiguration techniques for handling permanent faults 基于永久故障重构技术的动态调度超标量处理器诊断自检
Mario Schölzel, T. Koal, H. Vierhaus
Diagnostic self-test in-the-field for processors becomes mandatory for reconfigurable fault tolerant processor-based systems. Software-based self-test techniques are well suited for providing a pass/fail test in-the-field. However, a diagnostic result for dynamically scheduled processors is usually not obtained by these tests, because the software has no control about the used components of the processor during the execution of the test program. This paper provides a concept for a simple hardware extension of a dynamically scheduled processor, such that the test program gets control about the resource usage. With this technique, for the first time, it becomes feasible to perform a diagnostic software-based self-test for dynamically scheduled processors that is able to distinguish between faults in various components of the processor. In particular, the instruction queue, reservation stations, functional units, and reorder buffer are taken into account. Thereby, the hardware overhead for self-test and reconfiguration is less than 6%.
对于基于可重构容错处理器的系统来说,对处理器进行现场诊断自检是必须的。基于软件的自测技术非常适合于提供现场通过/失败测试。然而,这些测试通常无法获得动态调度处理器的诊断结果,因为在执行测试程序期间,软件无法控制处理器所使用的组件。本文提出了对动态调度处理器进行简单硬件扩展的概念,使测试程序能够控制资源的使用。有了这种技术,对动态调度的处理器执行基于诊断软件的自检首次变得可行,这种自检能够区分处理器各个组件中的故障。特别地,指令队列、预留站、功能单元和重排序缓冲区被考虑在内。因此,用于自测和重新配置的硬件开销小于6%。
{"title":"Diagnostic self-test for dynamically scheduled superscalar processors based on reconfiguration techniques for handling permanent faults","authors":"Mario Schölzel, T. Koal, H. Vierhaus","doi":"10.1109/DFT.2014.6962072","DOIUrl":"https://doi.org/10.1109/DFT.2014.6962072","url":null,"abstract":"Diagnostic self-test in-the-field for processors becomes mandatory for reconfigurable fault tolerant processor-based systems. Software-based self-test techniques are well suited for providing a pass/fail test in-the-field. However, a diagnostic result for dynamically scheduled processors is usually not obtained by these tests, because the software has no control about the used components of the processor during the execution of the test program. This paper provides a concept for a simple hardware extension of a dynamically scheduled processor, such that the test program gets control about the resource usage. With this technique, for the first time, it becomes feasible to perform a diagnostic software-based self-test for dynamically scheduled processors that is able to distinguish between faults in various components of the processor. In particular, the instruction queue, reservation stations, functional units, and reorder buffer are taken into account. Thereby, the hardware overhead for self-test and reconfiguration is less than 6%.","PeriodicalId":414665,"journal":{"name":"2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)","volume":"256 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2014-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123285316","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Power droop reduction during Launch-On-Shift scan-based logic BIST 在基于换挡发射扫描的逻辑BIST中降低功耗
M. Omaña, Daniele Rossi, Edda Beniamino, C. Metra, C. Tirumurti, R. Galivanche
The generation of significant power droop (PD) during at-speed test performed by Logic BIST is a concern for modern ICs. In fact, during test, PD may significantly increase the delay of signals of the circuit under test (CUT), an effect that may be erroneously recognized as presence of delay faults, with consequent erroneous generation of test fails, and increase in yield loss. In this paper, we propose a novel approach to reduce PD during at-speed test with scan-based Logic BIST using the Launch-On-Shift scheme. Our approach increases the correlation between adjacent bits of the scan chains with respect to conventional scan-based LBIST. This way, when the test vectors are applied, the activity factor (AF) of the scan chains is reduced by approximately the 50% with respect to conventional scan-based LBIST, with no drawbacks on test length and fault coverage, and at the cost of very limited area overhead. We also show that compared to two recent alternate solutions, our approach features a comparable AF in the scan chains during the application of test vectors, while it requires a significantly lower test time or area overhead.
在Logic BIST进行的高速测试中产生的显著功率下降(PD)是现代集成电路关注的问题。事实上,在测试过程中,PD可能会显著增加被测电路信号的延迟(CUT),这种影响可能会被错误地识别为存在延迟故障,从而错误地产生测试失败,并增加产量损失。在本文中,我们提出了一种新的方法来减少PD在高速测试中基于扫描的逻辑BIST使用发射-移位方案。与传统的基于扫描的LBIST相比,我们的方法增加了扫描链相邻位之间的相关性。这样,当应用测试向量时,扫描链的活动因子(AF)相对于传统的基于扫描的LBIST减少了大约50%,在测试长度和故障覆盖方面没有缺点,并且以非常有限的面积开销为代价。我们还表明,与最近的两种替代解决方案相比,我们的方法在应用测试向量的扫描链中具有相当的AF,同时它需要的测试时间或面积开销显着降低。
{"title":"Power droop reduction during Launch-On-Shift scan-based logic BIST","authors":"M. Omaña, Daniele Rossi, Edda Beniamino, C. Metra, C. Tirumurti, R. Galivanche","doi":"10.1109/DFT.2014.6962063","DOIUrl":"https://doi.org/10.1109/DFT.2014.6962063","url":null,"abstract":"The generation of significant power droop (PD) during at-speed test performed by Logic BIST is a concern for modern ICs. In fact, during test, PD may significantly increase the delay of signals of the circuit under test (CUT), an effect that may be erroneously recognized as presence of delay faults, with consequent erroneous generation of test fails, and increase in yield loss. In this paper, we propose a novel approach to reduce PD during at-speed test with scan-based Logic BIST using the Launch-On-Shift scheme. Our approach increases the correlation between adjacent bits of the scan chains with respect to conventional scan-based LBIST. This way, when the test vectors are applied, the activity factor (AF) of the scan chains is reduced by approximately the 50% with respect to conventional scan-based LBIST, with no drawbacks on test length and fault coverage, and at the cost of very limited area overhead. We also show that compared to two recent alternate solutions, our approach features a comparable AF in the scan chains during the application of test vectors, while it requires a significantly lower test time or area overhead.","PeriodicalId":414665,"journal":{"name":"2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2014-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130284813","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Exploiting dynamic partial reconfiguration for on-line on-demand testing of permanent faults in reconfigurable systems 利用动态局部重构技术对可重构系统中的永久故障进行在线按需测试
D. Sorrenti, D. Cozzi, S. Korf, Luca Cassano, J. Hagemeyer, Mario Porrmann, C. Bernardeschi
Reconfigurable systems are increasingly employed in many application fields, including aerospace. The long term exposure to radiation of space electronics can cause permanent faults, that may lead to the failure of the mission. In this paper we present a novel technique for on-line on-demand testing of permanent faults in the routing structure of SRAM-based FPGAs, that are employed in reconfigurable systems. The basic idea is to place testing circuits on the resources of the FPGA which are unused at the moment to test them before using those resources when a functional module of the reconfigurable system has to be placed. The proposed technique has been implemented and the achieved fault coverage has been assessed on a real-world reconfigurable system. This experiment demonstrated that all the faults in the routing resources under test can be detected.
可重构系统越来越多地应用于包括航空航天在内的许多应用领域。长期暴露在太空电子设备的辐射下会导致永久性故障,这可能导致任务失败。本文提出了一种用于可重构系统中基于sram的fpga路由结构永久故障在线按需测试的新技术。基本思想是将测试电路放置在FPGA的资源上,当必须放置可重构系统的功能模块时,在使用这些资源之前对它们进行测试。该方法在实际可重构系统中得到了实现,并对实现的故障覆盖率进行了评估。实验结果表明,被测路由资源的所有故障都能被检测到。
{"title":"Exploiting dynamic partial reconfiguration for on-line on-demand testing of permanent faults in reconfigurable systems","authors":"D. Sorrenti, D. Cozzi, S. Korf, Luca Cassano, J. Hagemeyer, Mario Porrmann, C. Bernardeschi","doi":"10.1109/DFT.2014.6962065","DOIUrl":"https://doi.org/10.1109/DFT.2014.6962065","url":null,"abstract":"Reconfigurable systems are increasingly employed in many application fields, including aerospace. The long term exposure to radiation of space electronics can cause permanent faults, that may lead to the failure of the mission. In this paper we present a novel technique for on-line on-demand testing of permanent faults in the routing structure of SRAM-based FPGAs, that are employed in reconfigurable systems. The basic idea is to place testing circuits on the resources of the FPGA which are unused at the moment to test them before using those resources when a functional module of the reconfigurable system has to be placed. The proposed technique has been implemented and the achieved fault coverage has been assessed on a real-world reconfigurable system. This experiment demonstrated that all the faults in the routing resources under test can be detected.","PeriodicalId":414665,"journal":{"name":"2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)","volume":"13 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2014-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130291830","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
On the in-field functional testing of decode units in pipelined RISC processors 流水线RISC处理器译码单元的现场功能测试
P. Bernardi, R. Cantoro, Lyl M. Ciganda Brasca, E. Sánchez, M. Reorda, S. D. Luca, Renato Meregalli, A. Sansonetti
The paper is dealing with the in-field test of the decode unit of RISC processors through functional test programs following the SBST approach. The paper details a strategy based on instruction classification and manipulation, and signatures collection. The method does not require the knowledge of detailed implementation information (e.g., the netlist), but is based on the Instruction Set of the processor. The proposed method is evaluated on an industrial SoC device, which includes a PowerPC derived processor. Results demonstrate the efficiency and effectiveness of the strategy; the proposed solution reaches over 90% of stuck-at fault coverage while an instruction coverage based approach does not overcome 70%.
本文采用SBST方法,通过功能测试程序对RISC处理器的解码单元进行现场测试。本文详细介绍了一种基于指令分类和操作以及签名收集的策略。该方法不需要了解详细的实现信息(例如,网表),而是基于处理器的指令集。在包含PowerPC衍生处理器的工业SoC器件上对该方法进行了评估。结果证明了该策略的有效性和有效性;提出的解决方案达到了90%以上的卡在故障覆盖率,而基于指令覆盖率的方法无法克服70%。
{"title":"On the in-field functional testing of decode units in pipelined RISC processors","authors":"P. Bernardi, R. Cantoro, Lyl M. Ciganda Brasca, E. Sánchez, M. Reorda, S. D. Luca, Renato Meregalli, A. Sansonetti","doi":"10.1109/DFT.2014.6962090","DOIUrl":"https://doi.org/10.1109/DFT.2014.6962090","url":null,"abstract":"The paper is dealing with the in-field test of the decode unit of RISC processors through functional test programs following the SBST approach. The paper details a strategy based on instruction classification and manipulation, and signatures collection. The method does not require the knowledge of detailed implementation information (e.g., the netlist), but is based on the Instruction Set of the processor. The proposed method is evaluated on an industrial SoC device, which includes a PowerPC derived processor. Results demonstrate the efficiency and effectiveness of the strategy; the proposed solution reaches over 90% of stuck-at fault coverage while an instruction coverage based approach does not overcome 70%.","PeriodicalId":414665,"journal":{"name":"2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)","volume":"57 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2014-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128336699","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 22
Reusing the IEEE 1500 design for test infrastructure for security monitoring of Systems-on-Chip 基于ieee1500的片上系统安全监控测试基础架构设计
J. Backer, D. Hély, R. Karri
Systems-on-chip (SoCs) are vulnerable to attacks by malicious software and hardware trojans. This work explores if the Design for Test (DfT) infrastructure in SoCs can tackle these security threats with minimum hardware overhead. We show that the observability and plug-and-play features of the IEEE 1500 DfT can be used for scalable security monitoring in SoCs. Existing SoC security countermeasures can reuse the DfT-based security architecture to detect software and hardware attacks. The proposed DfT reuse imposes negligible hardware and performance overheads and doesn't require modifications to the SoC.
片上系统(soc)容易受到恶意软件和硬件木马的攻击。这项工作探讨了soc中的测试设计(DfT)基础设施是否可以用最小的硬件开销来解决这些安全威胁。我们证明了IEEE 1500 DfT的可观察性和即插即用特性可用于soc中的可扩展安全监控。现有的SoC安全对策可以重用基于dft的安全架构来检测软件和硬件攻击。提议的DfT重用带来的硬件和性能开销可以忽略不计,并且不需要修改SoC。
{"title":"Reusing the IEEE 1500 design for test infrastructure for security monitoring of Systems-on-Chip","authors":"J. Backer, D. Hély, R. Karri","doi":"10.1109/DFT.2014.6962098","DOIUrl":"https://doi.org/10.1109/DFT.2014.6962098","url":null,"abstract":"Systems-on-chip (SoCs) are vulnerable to attacks by malicious software and hardware trojans. This work explores if the Design for Test (DfT) infrastructure in SoCs can tackle these security threats with minimum hardware overhead. We show that the observability and plug-and-play features of the IEEE 1500 DfT can be used for scalable security monitoring in SoCs. Existing SoC security countermeasures can reuse the DfT-based security architecture to detect software and hardware attacks. The proposed DfT reuse imposes negligible hardware and performance overheads and doesn't require modifications to the SoC.","PeriodicalId":414665,"journal":{"name":"2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)","volume":"14 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2014-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126107142","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
期刊
2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1