首页 > 最新文献

Fourth International Symposium on Quality Electronic Design, 2003. Proceedings.最新文献

英文 中文
A novel clocking strategy for dynamic circuits 一种新的动态电路时钟策略
Pub Date : 2003-03-24 DOI: 10.1109/ISQED.2003.1194750
Young-Jun Lee, Jong-Jin Lim, Yong-Bin Kim
This paper proposes a new clocking strategy for dynamic circuits. It provides faster performance and smaller area than conventional clocking schemes. The proposed clocking scheme for dynamic circuits provides the solution of the problem caused by logic polarity and clock skew problem simultaneously. To demonstrate the proposed clocking strategy, a 32 bit carry look ahead adder (CLA) is designed and simulated using 0.25 /spl mu/m CMOS technology to demonstrate 32.7% faster speed than the conventional clocking scheme and 19.4% transistor counter reduction.
本文提出了一种新的动态电路时钟策略。它提供了比传统时钟方案更快的性能和更小的面积。提出的动态电路的时钟方案同时解决了由逻辑极性和时钟偏差引起的问题。为了验证所提出的时钟策略,采用0.25 /spl mu/m CMOS技术设计并仿真了一个32位进位前置加法器(CLA),其速度比传统时钟方案快32.7%,晶体管计数器减少19.4%。
{"title":"A novel clocking strategy for dynamic circuits","authors":"Young-Jun Lee, Jong-Jin Lim, Yong-Bin Kim","doi":"10.1109/ISQED.2003.1194750","DOIUrl":"https://doi.org/10.1109/ISQED.2003.1194750","url":null,"abstract":"This paper proposes a new clocking strategy for dynamic circuits. It provides faster performance and smaller area than conventional clocking schemes. The proposed clocking scheme for dynamic circuits provides the solution of the problem caused by logic polarity and clock skew problem simultaneously. To demonstrate the proposed clocking strategy, a 32 bit carry look ahead adder (CLA) is designed and simulated using 0.25 /spl mu/m CMOS technology to demonstrate 32.7% faster speed than the conventional clocking scheme and 19.4% transistor counter reduction.","PeriodicalId":448890,"journal":{"name":"Fourth International Symposium on Quality Electronic Design, 2003. Proceedings.","volume":"46 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132259200","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Simultaneous subthreshold and gate-oxide tunneling leakage current analysis in nanometer CMOS design 纳米CMOS设计中亚阈值和栅极-氧化物隧道同步漏电流分析
Pub Date : 2003-03-24 DOI: 10.1109/ISQED.2003.1194747
Dongwook Lee, Wesley Kwong, D. Blaauw, D. Sylvester
In this paper we develop a fast approach to analyze the total leakage power of a large circuit block, considering both gate leakage, I/sub gate/, and subthreshold leakage, I/sub sub/. The interaction between I/sub sub/ and I/sub gate/ complicates analysis in arbitrary CMOS topologies. We propose simple and accurate heuristics to quickly estimate the state-dependent total leakage current considering the interaction between I/sub sub/ and I/sub gate/. We apply this method to ISCAS benchmark circuits in a projected 100 nm technology and demonstrate excellent accuracy compared to SPICE simulation with a 20,000X speedup on average.
在本文中,我们开发了一种快速分析大型电路块总泄漏功率的方法,同时考虑栅极泄漏,I/sub门/和亚阈值泄漏,I/sub sub/。在任意CMOS拓扑结构中,I/sub /和I/sub门之间的相互作用使分析变得复杂。考虑到I/sub /和I/sub门/之间的相互作用,我们提出了简单而准确的启发式方法来快速估计状态相关的总泄漏电流。我们将该方法应用于预计100纳米技术的ISCAS基准电路,与SPICE模拟相比,该方法具有出色的精度,平均加速速度提高了20,000倍。
{"title":"Simultaneous subthreshold and gate-oxide tunneling leakage current analysis in nanometer CMOS design","authors":"Dongwook Lee, Wesley Kwong, D. Blaauw, D. Sylvester","doi":"10.1109/ISQED.2003.1194747","DOIUrl":"https://doi.org/10.1109/ISQED.2003.1194747","url":null,"abstract":"In this paper we develop a fast approach to analyze the total leakage power of a large circuit block, considering both gate leakage, I/sub gate/, and subthreshold leakage, I/sub sub/. The interaction between I/sub sub/ and I/sub gate/ complicates analysis in arbitrary CMOS topologies. We propose simple and accurate heuristics to quickly estimate the state-dependent total leakage current considering the interaction between I/sub sub/ and I/sub gate/. We apply this method to ISCAS benchmark circuits in a projected 100 nm technology and demonstrate excellent accuracy compared to SPICE simulation with a 20,000X speedup on average.","PeriodicalId":448890,"journal":{"name":"Fourth International Symposium on Quality Electronic Design, 2003. Proceedings.","volume":"157 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130494696","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 50
Quality challenges of the nanometer design realm 纳米设计领域的质量挑战
Pub Date : 2003-03-24 DOI: 10.1109/ISQED.2003.1194704
T. Vucurevich
It is commonly agreed that sub-nanometer design is electronic design technology’s next big challenge. With the economic stakes higher than ever, the vendors of electronic design solutions must put themselves into their customers' shoes through comprehensive, high-quality programs. My understanding of the differences designers face at geometries below 100 nanometers has led to my discussion of some of the challenges the industry faces in the sub-nanometer realm. This includes the domination of wires in digital design, which requires the ability to design the best quality wires through continuous convergence, a wire-centric methodology. In the nanometer world, the front-end and back-end disappear, leaving the prototype as the chip. This includes detailed wiring, and a new full-chip iteration every day. Most sub-nanometer ICs and SoCs will be digital/mixed-signal. This leads to custom design issues, such as integrating sensitive circuits with massive digital and mixed-signal design, productivity and foundry interface. Nanometer SoC verification includes digital, analog and software, and a 70 percent silicon re-spin rate because of associated functional errors. At sub-nanometer levels, design-in becomes a major bottleneck, especially across a design chain, which can only be solved by silicon-package-board co-design.
人们普遍认为,亚纳米设计是电子设计技术的下一个重大挑战。随着经济风险比以往任何时候都高,电子设计解决方案的供应商必须通过全面、高质量的方案把自己放在客户的立场上。我对设计师在100纳米以下的几何形状上所面临的差异的理解,导致了我对工业在亚纳米领域面临的一些挑战的讨论。这包括线材在数字设计中的主导地位,这需要通过持续融合设计出最优质的线材,这是一种以线材为中心的方法。在纳米世界里,前端和后端消失了,只剩下原型作为芯片。这包括详细的布线,以及每天一个新的全芯片迭代。大多数亚纳米ic和soc将是数字/混合信号。这导致了定制设计问题,例如将敏感电路与大规模数字和混合信号设计、生产力和代工接口集成在一起。纳米SoC验证包括数字、模拟和软件,由于相关的功能错误,硅重旋率为70%。在亚纳米级,设计嵌入成为主要瓶颈,特别是在设计链中,这只能通过硅封装板协同设计来解决。
{"title":"Quality challenges of the nanometer design realm","authors":"T. Vucurevich","doi":"10.1109/ISQED.2003.1194704","DOIUrl":"https://doi.org/10.1109/ISQED.2003.1194704","url":null,"abstract":"It is commonly agreed that sub-nanometer design is electronic design technology’s next big challenge. With the economic stakes higher than ever, the vendors of electronic design solutions must put themselves into their customers' shoes through comprehensive, high-quality programs. My understanding of the differences designers face at geometries below 100 nanometers has led to my discussion of some of the challenges the industry faces in the sub-nanometer realm. This includes the domination of wires in digital design, which requires the ability to design the best quality wires through continuous convergence, a wire-centric methodology. In the nanometer world, the front-end and back-end disappear, leaving the prototype as the chip. This includes detailed wiring, and a new full-chip iteration every day. Most sub-nanometer ICs and SoCs will be digital/mixed-signal. This leads to custom design issues, such as integrating sensitive circuits with massive digital and mixed-signal design, productivity and foundry interface. Nanometer SoC verification includes digital, analog and software, and a 70 percent silicon re-spin rate because of associated functional errors. At sub-nanometer levels, design-in becomes a major bottleneck, especially across a design chain, which can only be solved by silicon-package-board co-design.","PeriodicalId":448890,"journal":{"name":"Fourth International Symposium on Quality Electronic Design, 2003. Proceedings.","volume":"54 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124819065","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Advanced physical models for mask data verification and impacts on physical layout synthesis 掩模数据验证的先进物理模型及其对物理布局综合的影响
Pub Date : 2003-03-24 DOI: 10.1109/ISQED.2003.1194720
Q. Qian, S. Tan
The proliferation and acceptance of reticle enhancement technologies (RET) like optical proximity correction (OPC) and phase shift masking (PSM) have significantly increased the cost and complexity of sub-100 nm photomasks. The photomask layout is no longer an exact replica of the design layout. As a result, reliably verifying RET synthesis accuracy, structural integrity, and conformance to mask fabrication rules are crucial for the manufacture of nanometer regime VLSI designs. In this paper, we demonstrate a physical model based mask layout verification system. The new system consists of an efficient wafer-patterning simulator that is able to solve the process physical equations for optical imaging and resist development and hence can achieve high degree accuracy required by mask verification tasks. It is able to efficiently evaluate mask performance by simulating edge displacement errors between wafer image and the intended layout. We show the capabilities for hot spot detection, line width variation analysis, and process window prediction capabilities with a sample practical layout. We also discuss the potential of the new physical model simulator for improving circuit performance in physical layout synthesis.
光学接近校正(OPC)和相移掩蔽(PSM)等光谱线增强技术(RET)的普及和接受大大增加了亚100 nm光掩模的成本和复杂性。掩模布局不再是设计布局的精确副本。因此,可靠地验证RET合成精度,结构完整性和掩膜制造规则的一致性对于纳米级超大规模集成电路设计的制造至关重要。在本文中,我们演示了一个基于物理模型的掩模布局验证系统。新系统由一个高效的晶圆图案模拟器组成,该模拟器能够解决光学成像和抗蚀显影的过程物理方程,因此可以达到掩模验证任务所需的高精度。它能够通过模拟晶圆图像与预期布局之间的边缘位移误差来有效地评估掩模性能。我们展示了热点检测、线宽变化分析和过程窗口预测能力的功能,并提供了一个示例实际布局。我们还讨论了新的物理模型模拟器在物理布局合成中提高电路性能的潜力。
{"title":"Advanced physical models for mask data verification and impacts on physical layout synthesis","authors":"Q. Qian, S. Tan","doi":"10.1109/ISQED.2003.1194720","DOIUrl":"https://doi.org/10.1109/ISQED.2003.1194720","url":null,"abstract":"The proliferation and acceptance of reticle enhancement technologies (RET) like optical proximity correction (OPC) and phase shift masking (PSM) have significantly increased the cost and complexity of sub-100 nm photomasks. The photomask layout is no longer an exact replica of the design layout. As a result, reliably verifying RET synthesis accuracy, structural integrity, and conformance to mask fabrication rules are crucial for the manufacture of nanometer regime VLSI designs. In this paper, we demonstrate a physical model based mask layout verification system. The new system consists of an efficient wafer-patterning simulator that is able to solve the process physical equations for optical imaging and resist development and hence can achieve high degree accuracy required by mask verification tasks. It is able to efficiently evaluate mask performance by simulating edge displacement errors between wafer image and the intended layout. We show the capabilities for hot spot detection, line width variation analysis, and process window prediction capabilities with a sample practical layout. We also discuss the potential of the new physical model simulator for improving circuit performance in physical layout synthesis.","PeriodicalId":448890,"journal":{"name":"Fourth International Symposium on Quality Electronic Design, 2003. Proceedings.","volume":"8 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125870539","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
System and framework for QA of process design kits 工艺设计套件的质量保证体系和框架
Pub Date : 2003-03-24 DOI: 10.1109/ISQED.2003.1194722
M. Scott, M. Peralta, J. Carothers
In this paper, we evaluate the dependencies between tools, data and environment in process design kits. and present a framework for systematically analyzing the quality of the design tools and libraries through the design flow. The framework consists of a regression engine which executes sets of tests in a distributed computing environment. These tests vary from simulations to validate models and simulators, to tests on layout versus schematics, parasitics extraction accuracy, and ultimately, tests to validate the extracted circuit integrity against the ideal. In particular, it is shown that test-chaining is required to obtain confidence in the simulation-to-silicon equivalence. A secondary objective is to identify and quantify the peak-error injection points. Finally, future work is outlined to extend the framework to automate entire design flows and provide capability for inter-tool constraint satisfaction and design optimization.
在本文中,我们评估了过程设计套件中工具、数据和环境之间的依赖关系。并提出了一个通过设计流程系统分析设计工具和库质量的框架。该框架由一个回归引擎组成,该引擎在分布式计算环境中执行一系列测试。这些测试从验证模型和模拟器的模拟,到对布局与原理图的测试,寄生提取精度的测试,以及最终验证提取电路完整性的测试。特别指出,为了获得模拟-硅等效的置信度,需要测试链。第二个目标是识别和量化峰值误差注入点。最后,概述了未来的工作,以扩展框架,使整个设计流程自动化,并提供工具间约束满足和设计优化的能力。
{"title":"System and framework for QA of process design kits","authors":"M. Scott, M. Peralta, J. Carothers","doi":"10.1109/ISQED.2003.1194722","DOIUrl":"https://doi.org/10.1109/ISQED.2003.1194722","url":null,"abstract":"In this paper, we evaluate the dependencies between tools, data and environment in process design kits. and present a framework for systematically analyzing the quality of the design tools and libraries through the design flow. The framework consists of a regression engine which executes sets of tests in a distributed computing environment. These tests vary from simulations to validate models and simulators, to tests on layout versus schematics, parasitics extraction accuracy, and ultimately, tests to validate the extracted circuit integrity against the ideal. In particular, it is shown that test-chaining is required to obtain confidence in the simulation-to-silicon equivalence. A secondary objective is to identify and quantify the peak-error injection points. Finally, future work is outlined to extend the framework to automate entire design flows and provide capability for inter-tool constraint satisfaction and design optimization.","PeriodicalId":448890,"journal":{"name":"Fourth International Symposium on Quality Electronic Design, 2003. Proceedings.","volume":"27 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121685388","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Design and analysis of low-voltage current-mode logic buffers 低压电流型逻辑缓冲器的设计与分析
Pub Date : 2003-03-24 DOI: 10.1109/ISQED.2003.1194748
P. Heydari
This paper investigates important problems involved in the design of a CML buffer as well as a chain of tapered CML buffers. A new design procedure to systematically design a chain of tapered CML buffers is proposed. The differential architecture of a CML buffer makes it functionally robust in the presence of environmental noise sources (e.g., crosstalk, power/ground noise). The circuit design issues in regard to the CML buffer are compared with those in a conventional CMOS inverter. It is shown, both through the experiments and by using efficient analytical models, why CML buffers are better than CMOS inverters in high-speed low-voltage applications.
本文研究了CML缓冲器以及锥形CML缓冲器链设计中涉及的一些重要问题。提出了一种系统设计锥形CML缓冲器链的新方法。CML缓冲器的差分结构使其在存在环境噪声源(例如,串扰,电源/地噪声)的情况下功能健壮。将CML缓冲器的电路设计问题与传统CMOS逆变器的电路设计问题进行了比较。通过实验和使用有效的分析模型,说明了为什么CML缓冲器在高速低压应用中优于CMOS逆变器。
{"title":"Design and analysis of low-voltage current-mode logic buffers","authors":"P. Heydari","doi":"10.1109/ISQED.2003.1194748","DOIUrl":"https://doi.org/10.1109/ISQED.2003.1194748","url":null,"abstract":"This paper investigates important problems involved in the design of a CML buffer as well as a chain of tapered CML buffers. A new design procedure to systematically design a chain of tapered CML buffers is proposed. The differential architecture of a CML buffer makes it functionally robust in the presence of environmental noise sources (e.g., crosstalk, power/ground noise). The circuit design issues in regard to the CML buffer are compared with those in a conventional CMOS inverter. It is shown, both through the experiments and by using efficient analytical models, why CML buffers are better than CMOS inverters in high-speed low-voltage applications.","PeriodicalId":448890,"journal":{"name":"Fourth International Symposium on Quality Electronic Design, 2003. Proceedings.","volume":"18 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124081556","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 40
Addressing the IC designer's needs: integrated design software for faster, more economical chip design 满足IC设计人员的需求:集成设计软件,更快,更经济的芯片设计
Pub Date : 2003-03-24 DOI: 10.1109/ISQED.2003.1194740
R. Madhavan
Electronic design automation continues to attract a great deal of investment from the venture community, fostering the creation of startup companies focused on developing unique point-tool solutions. While many innovative new technologies come from this, industry must consider the increasingly critical need of IC designers and manufacturers: integrated design flows that enable the design and production of chips with fewer resources and in less time, without compromising the quality of results. Increasingly evident is the advantage of integrated design and the economies it brings while delivering the same quality of results as point-tool-based approaches. The future of EDA depends on the industry’s ability to deliver solutions that enable the IC industry’s integration of electronic design tools and processes as it relies on EDA to provide the means for producing the next generation of semiconductor products.
电子设计自动化继续吸引着来自风险投资社区的大量投资,促进了专注于开发独特点工具解决方案的初创公司的创建。虽然许多创新的新技术由此而来,但业界必须考虑IC设计师和制造商日益增长的关键需求:集成设计流程,使芯片的设计和生产能够用更少的资源和更短的时间,而不会影响结果的质量。集成设计的优势及其带来的经济效益越来越明显,同时提供的结果质量与基于点工具的方法相同。EDA的未来取决于该行业提供解决方案的能力,这些解决方案使IC行业能够集成电子设计工具和工艺,因为它依赖于EDA来提供生产下一代半导体产品的手段。
{"title":"Addressing the IC designer's needs: integrated design software for faster, more economical chip design","authors":"R. Madhavan","doi":"10.1109/ISQED.2003.1194740","DOIUrl":"https://doi.org/10.1109/ISQED.2003.1194740","url":null,"abstract":"Electronic design automation continues to attract a great deal of investment from the venture community, fostering the creation of startup companies focused on developing unique point-tool solutions. While many innovative new technologies come from this, industry must consider the increasingly critical need of IC designers and manufacturers: integrated design flows that enable the design and production of chips with fewer resources and in less time, without compromising the quality of results. Increasingly evident is the advantage of integrated design and the economies it brings while delivering the same quality of results as point-tool-based approaches. The future of EDA depends on the industry’s ability to deliver solutions that enable the IC industry’s integration of electronic design tools and processes as it relies on EDA to provide the means for producing the next generation of semiconductor products.","PeriodicalId":448890,"journal":{"name":"Fourth International Symposium on Quality Electronic Design, 2003. Proceedings.","volume":"2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114230590","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Automated synthesis of configurable two-dimensional linear feedback shifter registers for random/embedded test patterns 自动合成可配置的二维线性反馈移位寄存器随机/嵌入式测试模式
Pub Date : 2003-03-24 DOI: 10.1109/ISQED.2003.1194718
C. Chen, K. George
A new approach to optimize a configurable two-dimensional (2-D) linear feedback shift registers (LFSR) for both embedded and random test pattern generation in built-in self-test (BIST) is proposed. This configurable 2-D LFSR based test pattern generator generates: 1) a deterministic sequence of test patterns for random-pattern-resistant faults, and then 2) random patterns for random-pattern-detectable faults. The configurable 2-D LFSR test generator can be adopted in two basic BIST execution options: test-per-clock (parallel BIST) and test-per-scan (serial BIST). Experimental results of test-per-clock BIST for benchmark circuits show with the configurable scheme the number of flip-flops of 2-D LFSR is reduced by 79%. The average number of faults detected by configurable 2-D LFSR is 9.27% higher than the conventional LFSR. Experimental results of test-per-scan BIST for benchmark circuits demonstrate the effectiveness of the proposed technique in which high fault coverage can be achieved.
提出了一种优化可配置二维(2-D)线性反馈移位寄存器(LFSR)的新方法,用于内建自检(BIST)中嵌入式和随机测试模式的生成。这种可配置的基于二维LFSR的测试模式生成器生成:1)抗随机模式故障的确定测试模式序列,然后2)随机模式可检测故障的随机模式。可配置的二维LFSR测试生成器可用于两种基本的BIST执行选项:按时钟测试(并行BIST)和按扫描测试(串行BIST)。基于基准电路的单时钟测试BIST实验结果表明,采用该可配置方案,二维LFSR的触发器数量减少了79%。可配置二维LFSR检测到的平均故障数比传统LFSR高9.27%。基于基准电路的单次扫描测试的实验结果证明了该方法的有效性,可以实现较高的故障覆盖率。
{"title":"Automated synthesis of configurable two-dimensional linear feedback shifter registers for random/embedded test patterns","authors":"C. Chen, K. George","doi":"10.1109/ISQED.2003.1194718","DOIUrl":"https://doi.org/10.1109/ISQED.2003.1194718","url":null,"abstract":"A new approach to optimize a configurable two-dimensional (2-D) linear feedback shift registers (LFSR) for both embedded and random test pattern generation in built-in self-test (BIST) is proposed. This configurable 2-D LFSR based test pattern generator generates: 1) a deterministic sequence of test patterns for random-pattern-resistant faults, and then 2) random patterns for random-pattern-detectable faults. The configurable 2-D LFSR test generator can be adopted in two basic BIST execution options: test-per-clock (parallel BIST) and test-per-scan (serial BIST). Experimental results of test-per-clock BIST for benchmark circuits show with the configurable scheme the number of flip-flops of 2-D LFSR is reduced by 79%. The average number of faults detected by configurable 2-D LFSR is 9.27% higher than the conventional LFSR. Experimental results of test-per-scan BIST for benchmark circuits demonstrate the effectiveness of the proposed technique in which high fault coverage can be achieved.","PeriodicalId":448890,"journal":{"name":"Fourth International Symposium on Quality Electronic Design, 2003. Proceedings.","volume":"20 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126515215","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Low-cost and real-time super-resolution over a video encoder IP 低成本和实时超分辨率的视频编码器IP
Pub Date : 2003-03-24 DOI: 10.1109/ISQED.2003.1194713
G. Callicó, A. Núñez, R. Llopis, R. Sethuraman
This paper addresses a low-cost and real-time solution for the implementation of super-resolution (SR) algorithms over SOC (System-On-Chip) platforms in order to achieve high-quality image improvements. Low-cost constraints are accomplished in the sense that SR is performed without developing a specific hardware, but re-using a video encoder IP block. This encoder can be used either in compression mode or in SR mode. This video encoder together with the new SR features constitutes an IP block inside Philips Research, upon which several SOC platforms are being developed. Furthermore, this work can be easily adapted to other video encoder platforms.
本文提出了在SOC(片上系统)平台上实现超分辨率(SR)算法的低成本和实时解决方案,以实现高质量的图像改进。低成本的限制是在没有开发特定硬件的情况下执行SR,而是重用视频编码器IP块的情况下完成的。这个编码器可以在压缩模式或SR模式下使用。该视频编码器与新的SR功能一起构成飞利浦研究内部的IP块,在此基础上正在开发多个SOC平台。此外,这项工作可以很容易地适应其他视频编码器平台。
{"title":"Low-cost and real-time super-resolution over a video encoder IP","authors":"G. Callicó, A. Núñez, R. Llopis, R. Sethuraman","doi":"10.1109/ISQED.2003.1194713","DOIUrl":"https://doi.org/10.1109/ISQED.2003.1194713","url":null,"abstract":"This paper addresses a low-cost and real-time solution for the implementation of super-resolution (SR) algorithms over SOC (System-On-Chip) platforms in order to achieve high-quality image improvements. Low-cost constraints are accomplished in the sense that SR is performed without developing a specific hardware, but re-using a video encoder IP block. This encoder can be used either in compression mode or in SR mode. This video encoder together with the new SR features constitutes an IP block inside Philips Research, upon which several SOC platforms are being developed. Furthermore, this work can be easily adapted to other video encoder platforms.","PeriodicalId":448890,"journal":{"name":"Fourth International Symposium on Quality Electronic Design, 2003. Proceedings.","volume":"44 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123085872","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
Post-route gate sizing for crosstalk noise reduction 减小串扰噪声的后路门尺寸
Pub Date : 2003-03-24 DOI: 10.1109/ISQED.2003.1194727
M. Becer, D. Blaauw, I. Algor, R. Panda, C. Oh, V. Zolotov, I. Hajj
Gate sizing is a practical and a feasible crosstalk noise correction technique in the post route design stage, especially for block level sea-of-gates designs. The difficulty in gate sizing for noise reduction is that by increasing a driver size, noise at the driver output is reduced, but noise injected by that driver on other nets is increased. This can create cyclical dependencies between nets in the circuit with noise violations. In this paper, we propose a fast and effective heuristic post-route gate sizing algorithm that uses a graph representation of the noise dependencies between nodes. Our method utilizes gate sizing in both directions and works in linear time as a function of the number of gates. The effectiveness of the algorithm is shown on several high performance designs.
栅极定径是一种实用可行的串扰噪声校正技术,适用于栅极设计阶段,特别是块级栅极设计。栅极尺寸减小噪声的困难在于,通过增加驱动器尺寸,驱动器输出处的噪声降低了,但该驱动器在其他网上注入的噪声增加了。这可能会在电路中与噪声冲突的网络之间产生周期性依赖关系。在本文中,我们提出了一种快速有效的启发式后路由门大小算法,该算法使用节点之间噪声依赖关系的图表示。我们的方法在两个方向上都利用栅极尺寸,并在线性时间内作为栅极数量的函数工作。在几个高性能设计中证明了该算法的有效性。
{"title":"Post-route gate sizing for crosstalk noise reduction","authors":"M. Becer, D. Blaauw, I. Algor, R. Panda, C. Oh, V. Zolotov, I. Hajj","doi":"10.1109/ISQED.2003.1194727","DOIUrl":"https://doi.org/10.1109/ISQED.2003.1194727","url":null,"abstract":"Gate sizing is a practical and a feasible crosstalk noise correction technique in the post route design stage, especially for block level sea-of-gates designs. The difficulty in gate sizing for noise reduction is that by increasing a driver size, noise at the driver output is reduced, but noise injected by that driver on other nets is increased. This can create cyclical dependencies between nets in the circuit with noise violations. In this paper, we propose a fast and effective heuristic post-route gate sizing algorithm that uses a graph representation of the noise dependencies between nodes. Our method utilizes gate sizing in both directions and works in linear time as a function of the number of gates. The effectiveness of the algorithm is shown on several high performance designs.","PeriodicalId":448890,"journal":{"name":"Fourth International Symposium on Quality Electronic Design, 2003. Proceedings.","volume":"66 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133955410","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
期刊
Fourth International Symposium on Quality Electronic Design, 2003. Proceedings.
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1