首页 > 最新文献

Tsinghua Science and Technology最新文献

英文 中文
One Fire Detection Method Using Neural Networks* 一种基于神经网络的火灾探测方法*
1区 计算机科学 Pub Date : 2011-02-01 DOI: 10.1016/S1007-0214(11)70005-0
Cheng Caixia (程彩霞) , Sun Fuchun (孙富春) , Zhou Xinquan (周心权)

A neural network fire detection method was developed using detection information for temperature, smoke density, and CO concentration to determine the probability of three representative fire conditions. The method overcomes the shortcomings of domestic fire alarm systems using single sensor information. Test results show that the identification error rates for fires, smoldering fires, and no fire are less than 5%, which greatly reduces leak-check rates and false alarms. This neural network fire alarm system can fuse a variety of sensor data and improve the ability of systems to adapt in the environment and accurately predict fires, which has great significance for life and property safety.

利用温度、烟雾密度和CO浓度的探测信息,开发了一种神经网络火灾探测方法,以确定三种具有代表性的火灾条件的概率。该方法克服了国内火灾报警系统采用单一传感器信息的缺点。试验结果表明,该系统对火灾、阴燃和无火的识别错误率均小于5%,大大降低了漏检率和误报率。该神经网络火灾报警系统可以融合多种传感器数据,提高系统对环境的适应能力和准确预测火灾的能力,对生命财产安全具有重要意义。
{"title":"One Fire Detection Method Using Neural Networks*","authors":"Cheng Caixia (程彩霞) ,&nbsp;Sun Fuchun (孙富春) ,&nbsp;Zhou Xinquan (周心权)","doi":"10.1016/S1007-0214(11)70005-0","DOIUrl":"10.1016/S1007-0214(11)70005-0","url":null,"abstract":"<div><p>A neural network fire detection method was developed using detection information for temperature, smoke density, and CO concentration to determine the probability of three representative fire conditions. The method overcomes the shortcomings of domestic fire alarm systems using single sensor information. Test results show that the identification error rates for fires, smoldering fires, and no fire are less than 5%, which greatly reduces leak-check rates and false alarms. This neural network fire alarm system can fuse a variety of sensor data and improve the ability of systems to adapt in the environment and accurately predict fires, which has great significance for life and property safety.</p></div>","PeriodicalId":60306,"journal":{"name":"Tsinghua Science and Technology","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-02-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1016/S1007-0214(11)70005-0","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68067717","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":1,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 42
Traffic Engineering for Proactive Failure Recovery of IP Networks* 用于IP网络主动故障恢复的流量工程
1区 计算机科学 Pub Date : 2011-02-01 DOI: 10.1016/S1007-0214(11)70009-8
Zhang Mingui (张民贵), Liu Bin (刘斌)

As a promising approach to improve network reliability, proactive failure recovery (PFR) re-routes failure affected traffic to backup paths without waiting for the completion of IP routing convergence. However, the failure affected traffic may cause congestion if it is not carefully allocated over the backup paths according to their available capacity. A post failure traffic engineering (PostTE) scheme is proposed to balance the load in the PFR scheme. Loop-free backup paths are prepared in advance to cover all the potential single-link failures. The failure affected load is locally allocated to the backup paths through solving a linear programming (LP) problem. Most of the time, the maximum link utilization (MLU) of the network is minimized under both the failure and failure-free cases. For the tested education networks, the LP problem can be solved within milliseconds.

作为提高网络可靠性的一种很有前途的方法,主动故障恢复(PFR)将受故障影响的流量重新路由到备份路径,而无需等待IP路由聚合的完成。但是,如果不根据可用容量在备份路径上仔细分配受故障影响的流量,则可能会导致拥塞。提出了一种故障后交通工程(PostTE)方案来平衡PFR方案中的负载。提前准备了无环路备份路径,以覆盖所有潜在的单链路故障。通过解决线性规划(LP)问题,将受故障影响的负载本地分配给备份路径。大多数时候,在故障和无故障的情况下,网络的最大链路利用率(MLU)都是最小化的。对于测试的教育网络,LP问题可以在几毫秒内解决。
{"title":"Traffic Engineering for Proactive Failure Recovery of IP Networks*","authors":"Zhang Mingui (张民贵),&nbsp;Liu Bin (刘斌)","doi":"10.1016/S1007-0214(11)70009-8","DOIUrl":"10.1016/S1007-0214(11)70009-8","url":null,"abstract":"<div><p>As a promising approach to improve network reliability, proactive failure recovery (PFR) re-routes failure affected traffic to backup paths without waiting for the completion of IP routing convergence. However, the failure affected traffic may cause congestion if it is not carefully allocated over the backup paths according to their available capacity. A post failure traffic engineering (PostTE) scheme is proposed to balance the load in the PFR scheme. Loop-free backup paths are prepared in advance to cover all the potential single-link failures. The failure affected load is locally allocated to the backup paths through solving a linear programming (LP) problem. Most of the time, the maximum link utilization (MLU) of the network is minimized under both the failure and failure-free cases. For the tested education networks, the LP problem can be solved within milliseconds.</p></div>","PeriodicalId":60306,"journal":{"name":"Tsinghua Science and Technology","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-02-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1016/S1007-0214(11)70009-8","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68067722","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":1,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Small-Space Microphone Array Fractional Delay Algorithm Based on FIR Filter for Cochlear Implant* 基于FIR滤波器的人工耳蜗小空间麦克风阵列分数延迟算法*
1区 计算机科学 Pub Date : 2011-02-01 DOI: 10.1016/S1007-0214(11)70014-1
Chen Yousheng (陈又圣), Gong Qin (宫琴)

Directional speech enhancement of signals from microphone arrays is an effective way to improve speech recognition for cochlear implant users. The strict implant size limitation results in a short distance between microphones. The fractional delay problem due to the short distance between microphones is solved by a maximal flat (Maxflat) finite impulse response (FIR) filter, using the Maxflat error criteria at a low frequency containing most of the speech information and energy. The fractional Maxflat FIR filter approximates the ideal digital fractional filter at the magnitude response, phase response, and phase delay characteristics, and is also very low order. The results demonstrate that the Maxflat FIR filter accurately and effectively solves the fractional digital delay and is very suitable for real-time speech processing in practical cochlear implant products.

对麦克风阵列信号进行定向语音增强是提高人工耳蜗用户语音识别能力的有效途径。严格的植入物尺寸限制导致麦克风之间的距离很短。在包含大部分语音信息和能量的低频处,采用最大平坦(Maxflat)误差准则,利用最大平坦有限脉冲响应(FIR)滤波器解决了由于麦克风之间距离较近而导致的分数级延迟问题。分数阶Maxflat FIR滤波器在幅度响应、相位响应和相位延迟特性上近似于理想的数字分数阶滤波器,而且阶数也很低。结果表明,Maxflat FIR滤波器准确有效地解决了分数级数字延迟问题,非常适合于实际人工耳蜗产品的实时语音处理。
{"title":"Small-Space Microphone Array Fractional Delay Algorithm Based on FIR Filter for Cochlear Implant*","authors":"Chen Yousheng (陈又圣),&nbsp;Gong Qin (宫琴)","doi":"10.1016/S1007-0214(11)70014-1","DOIUrl":"10.1016/S1007-0214(11)70014-1","url":null,"abstract":"<div><p><span>Directional speech enhancement of signals from microphone arrays is an effective way to improve speech recognition for cochlear </span>implant<span> users. The strict implant size limitation results in a short distance between microphones. The fractional delay problem due to the short distance between microphones is solved by a maximal flat (Maxflat) finite impulse response (FIR) filter, using the Maxflat error criteria at a low frequency containing most of the speech information and energy. The fractional Maxflat FIR filter approximates the ideal digital fractional filter at the magnitude response, phase response, and phase delay characteristics, and is also very low order. The results demonstrate that the Maxflat FIR filter accurately and effectively solves the fractional digital delay and is very suitable for real-time speech processing in practical cochlear implant products.</span></p></div>","PeriodicalId":60306,"journal":{"name":"Tsinghua Science and Technology","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-02-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1016/S1007-0214(11)70014-1","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68067727","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":1,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Causal Inference in Graph-Text Constellations: Designing Verbally Annotated Graphs* 图-文星座的因果推理:设计口头注释图*
1区 计算机科学 Pub Date : 2011-02-01 DOI: 10.1016/S1007-0214(11)70002-5
Christopher Habel , Cengiz Acartürk

Multimodal documents combining language and graphs are wide-spread in print media as well as in electronic media. One of the most important tasks to be solved in comprehending graph-text combinations is construction of causal chains among the meaning entities provided by modalities. In this study we focus on the role of annotation position and shape of graph lines in simple line graphs on causal attributions concerning the event presented by the annotation and the processes (i.e. increases and decreases) and states (no-changes) in the domain value of the graphs presented by the process-lines and state-lines. Based on the experimental investigation of readers’ inferences under different conditions, guidelines for the design of multimodal documents including text and statistical information graphics are suggested. One suggestion is that the position and the number of verbal annotations should be selected appropriately, another is that the graph line smoothing should be done cautiously.

结合语言和图形的多模态文件在印刷媒体和电子媒体中广泛传播。在图形-文本组合理解中需要解决的最重要的任务之一是在模态提供的意义实体之间构建因果链。本文研究了简单线形图中标注位置和图线形状对标注所表示的事件与过程线和状态线所表示的图域值中的过程(即增加和减少)和状态(无变化)的因果归因的作用。通过对不同条件下读者推理的实验研究,提出了文本和统计信息图形等多模态文档的设计准则。一个建议是适当选择文字注释的位置和数量,另一个建议是图形线条平滑要谨慎。
{"title":"Causal Inference in Graph-Text Constellations: Designing Verbally Annotated Graphs*","authors":"Christopher Habel ,&nbsp;Cengiz Acartürk","doi":"10.1016/S1007-0214(11)70002-5","DOIUrl":"10.1016/S1007-0214(11)70002-5","url":null,"abstract":"<div><p>Multimodal documents combining language and graphs are wide-spread in print media as well as in electronic media. One of the most important tasks to be solved in comprehending graph-text combinations is construction of causal chains among the meaning entities provided by modalities. In this study we focus on the role of annotation position and shape of graph lines in simple line graphs on causal attributions concerning the event presented by the annotation and the processes (i.e. increases and decreases) and states (no-changes) in the domain value of the graphs presented by the process-lines and state-lines. Based on the experimental investigation of readers’ inferences under different conditions, guidelines for the design of multimodal documents including text and statistical information graphics are suggested. One suggestion is that the position and the number of verbal annotations should be selected appropriately, another is that the graph line smoothing should be done cautiously.</p></div>","PeriodicalId":60306,"journal":{"name":"Tsinghua Science and Technology","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-02-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1016/S1007-0214(11)70002-5","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68067715","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":1,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Partition-Based Global Placement Considering Wire-Density Uniformity for CMP Variations* 考虑CMP变化的线密度均匀性的基于分区的全局布局
1区 计算机科学 Pub Date : 2011-02-01 DOI: 10.1016/S1007-0214(11)70007-4
Dong Changdao (董昌道), Zhou Qiang (周强), Cai Yici (蔡懿慈), Liu Dawei (刘大为)

This paper presents a multilevel hypergraph partitioning method that balances constraints on not only the cell area but also the wire weight with a partition-based global placement algorithm that maximizes the wire density uniformity to control chemical-mechanical polishing (CMP) variations. The multilevel partitioning alternately uses two FM variants in the refinement stage to give a more uniform wire distribution. The global placement is based on a top-down recursive bisection framework. The partitioning algorithm is used in the bisectioning to impact the wire density uniformity. Tests show that, with a 10% constraint, the partitioning produces solutions with more balanced edge weights that are 837% better than from hMetis, 1039.1% better than MLPart, and 762.9% better than FM in terms of imbalance proportion and that this global placement algorithm improves ROOSTER with a more uniform wire distribution by 3.1% on average with an increased wire length of only 3.0%.

本文提出了一种多级超图划分方法,该方法不仅平衡了对单元面积的约束,还平衡了对导线重量的约束,并采用了基于划分的全局布局算法,最大限度地提高了导线密度的均匀性,以控制化学机械抛光(CMP)的变化。多级划分在细化阶段交替使用两种FM变体,以提供更均匀的布线分布。全局布局基于自上而下的递归平分框架。在二等分中使用了分割算法来影响布线密度的均匀性。测试表明,在10%的约束下,分区产生的解决方案具有更平衡的边权重,在不平衡比例方面比hMetis好837%,比MLPart好1039.1%,比FM好762.9%,并且这种全局放置算法将ROOSTER改进为更均匀的布线,平均提高3.1%,布线长度仅增加3.0%。
{"title":"Partition-Based Global Placement Considering Wire-Density Uniformity for CMP Variations*","authors":"Dong Changdao (董昌道),&nbsp;Zhou Qiang (周强),&nbsp;Cai Yici (蔡懿慈),&nbsp;Liu Dawei (刘大为)","doi":"10.1016/S1007-0214(11)70007-4","DOIUrl":"10.1016/S1007-0214(11)70007-4","url":null,"abstract":"<div><p>This paper presents a multilevel hypergraph partitioning method that balances constraints on not only the cell area but also the wire weight with a partition-based global placement algorithm that maximizes the wire density uniformity to control chemical-mechanical polishing (CMP) variations. The multilevel partitioning alternately uses two FM variants in the refinement stage to give a more uniform wire distribution. The global placement is based on a top-down recursive bisection framework. The partitioning algorithm is used in the bisectioning to impact the wire density uniformity. Tests show that, with a 10% constraint, the partitioning produces solutions with more balanced edge weights that are 837% better than from hMetis, 1039.1% better than MLPart, and 762.9% better than FM in terms of imbalance proportion and that this global placement algorithm improves ROOSTER with a more uniform wire distribution by 3.1% on average with an increased wire length of only 3.0%.</p></div>","PeriodicalId":60306,"journal":{"name":"Tsinghua Science and Technology","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-02-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1016/S1007-0214(11)70007-4","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68067724","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":1,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
English Speech Recognition System on Chip* 片上英语语音识别系统*
1区 计算机科学 Pub Date : 2011-02-01 DOI: 10.1016/S1007-0214(11)70015-3
Liu Hong (刘鸿), Qian Yanmin (钱彦旻), Liu Jia (刘加)

An English speech recognition system was implemented on a chip, called speech system-on-chip (SoC). The SoC included an application specific integrated circuit with a vector accelerator to improve performance. The sub-word model based on a continuous density hidden Markov model recognition algorithm ran on a very cheap speech chip. The algorithm was a two-stage fixed-width beam-search baseline system with a variable beam-width pruning strategy and a frame-synchronous word-level pruning strategy to significantly reduce the recognition time. Tests show that this method reduces the recognition time nearly 6 fold and the memory size nearly 2 fold compared to the original system, with less than 1% accuracy degradation for a 600 word recognition task and recognition accuracy rate of about 98%.

一个英语语音识别系统被实现在一个芯片上,称为语音系统芯片(SoC)。该SoC包括一个带有矢量加速器的特定应用集成电路,以提高性能。基于连续密度隐马尔可夫模型识别算法的子词模型在一个非常便宜的语音芯片上运行。该算法采用两阶段固定宽度波束搜索基线系统,采用变波束宽度剪枝策略和帧同步字级剪枝策略,显著缩短了识别时间。测试表明,该方法与原系统相比,识别时间缩短了近6倍,内存大小减少了近2倍,对于600字的识别任务,准确率下降不到1%,识别准确率约为98%。
{"title":"English Speech Recognition System on Chip*","authors":"Liu Hong (刘鸿),&nbsp;Qian Yanmin (钱彦旻),&nbsp;Liu Jia (刘加)","doi":"10.1016/S1007-0214(11)70015-3","DOIUrl":"10.1016/S1007-0214(11)70015-3","url":null,"abstract":"<div><p>An English speech recognition system was implemented on a chip, called speech system-on-chip (SoC). The SoC included an application specific integrated circuit with a vector accelerator to improve performance. The sub-word model based on a continuous density hidden Markov model recognition algorithm ran on a very cheap speech chip. The algorithm was a two-stage fixed-width beam-search baseline system with a variable beam-width pruning strategy and a frame-synchronous word-level pruning strategy to significantly reduce the recognition time. Tests show that this method reduces the recognition time nearly 6 fold and the memory size nearly 2 fold compared to the original system, with less than 1% accuracy degradation for a 600 word recognition task and recognition accuracy rate of about 98%.</p></div>","PeriodicalId":60306,"journal":{"name":"Tsinghua Science and Technology","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-02-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1016/S1007-0214(11)70015-3","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68067685","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":1,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Reliability Analysis of the Cayley Graphs of Dihedral Groups* 二面体群的Cayley图的可靠性分析*
1区 计算机科学 Pub Date : 2011-02-01 DOI: 10.1016/S1007-0214(11)70006-2
Song Shujiao (宋淑娇), Wang Dianjun (王殿军)

Cayley graphs have many good properties as models of communication networks. This study analyzes the reliability of the Cayley graph based on the dihedral graph. Graph theory and analyses show that almost all Cayley graphs of the dihedral graph D2n are optimal super-λ. The number Ni(G) of cutsets of size i,λ≤i≤λ′ is given asNi(G)=n((G1)iδ).

凯利图作为通信网络的模型具有许多优良的性质。本文基于二面体图分析了Cayley图的可靠性。图论和分析表明,几乎所有的二面体图D2n的Cayley图都是最优的超λ。λ≤i≤λ '的切集的个数Ni(G)为Ni(G)=n((G−1)i−δ)。
{"title":"Reliability Analysis of the Cayley Graphs of Dihedral Groups*","authors":"Song Shujiao (宋淑娇),&nbsp;Wang Dianjun (王殿军)","doi":"10.1016/S1007-0214(11)70006-2","DOIUrl":"10.1016/S1007-0214(11)70006-2","url":null,"abstract":"<div><p>Cayley graphs have many good properties as models of communication networks. This study analyzes the reliability of the Cayley graph based on the dihedral graph. Graph theory and analyses show that almost all Cayley graphs of the dihedral graph <em>D</em><sub>2<em>n</em></sub> are optimal super-λ. The number <em>N<sub>i</sub>(G)</em> of cutsets of size <em>i</em>,λ≤<em>i</em>≤λ′ is given as\u0000<span><math><mrow><msub><mi>N</mi><mi>i</mi></msub><mo>(</mo><mi>G</mi><mo>)</mo><mo>=</mo><mi>n</mi><mrow><mo>(</mo><mrow><mtable><mtr><mtd><mrow><mo>(</mo><mi>G</mi><mo>−</mo><mn>1</mn><mo>)</mo></mrow></mtd></mtr><mtr><mtd><mrow><mi>i</mi><mo>−</mo><mi>δ</mi></mrow></mtd></mtr></mtable></mrow><mo>)</mo></mrow><mo>.</mo></mrow></math></span></p></div>","PeriodicalId":60306,"journal":{"name":"Tsinghua Science and Technology","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-02-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1016/S1007-0214(11)70006-2","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68067718","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":1,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Markov Clustering-Based Placement Algorithm for Hierarchical FPGAs* 基于Markov聚类的分层FPGA布局算法
1区 计算机科学 Pub Date : 2011-02-01 DOI: 10.1016/S1007-0214(11)70010-4
Dai Hui (戴晖), Zhou Qiang (周强), Bian Jinian (边计年)

Divide-and-conquer methods for FPGA placement algorithms including partition-based and cluster-based algorithms have shown the importance of good quality-runtime trade-off. This paper describes a cluster-based FPGA placement algorithm targeted to a new commercial hierarchical FPGA device. The algorithm is based on a Markov clustering algorithm that defines a sequence of stochastic matrices operating on a generating matrix from the input FPGA circuit netlist. The core of the algorithm tightly couples a Markov clustering process with a multilevel placement process. Tests show its excellent adaptability to hierarchical FPGAs. The average wirelength results produced by the algorithm are 22.3% shorter than the results produced by the current hierarchical FPGA placer.

FPGA布局算法的分治方法,包括基于分区和基于集群的算法,已经表明了高质量运行时权衡的重要性。本文针对一种新的商用分层FPGA器件,描述了一种基于集群的FPGA布局算法。该算法基于马尔可夫聚类算法,该算法定义了对来自输入FPGA电路网表的生成矩阵进行操作的随机矩阵序列。该算法的核心将马尔可夫聚类过程与多级放置过程紧密耦合。测试表明,它对分层FPGA具有良好的适应性。该算法产生的平均线路长度结果比当前分层FPGA放置器产生的结果短22.3%。
{"title":"Markov Clustering-Based Placement Algorithm for Hierarchical FPGAs*","authors":"Dai Hui (戴晖),&nbsp;Zhou Qiang (周强),&nbsp;Bian Jinian (边计年)","doi":"10.1016/S1007-0214(11)70010-4","DOIUrl":"10.1016/S1007-0214(11)70010-4","url":null,"abstract":"<div><p>Divide-and-conquer methods for FPGA<span><span> placement algorithms including partition-based and cluster-based algorithms have shown the importance of good quality-runtime trade-off. This paper describes a cluster-based FPGA placement algorithm targeted to a new commercial hierarchical FPGA device. The algorithm is based on a Markov clustering algorithm that defines a sequence of stochastic matrices operating on a generating matrix from the input FPGA circuit netlist. The core of the algorithm tightly couples a Markov clustering process with a multilevel placement process. Tests show its excellent adaptability to hierarchical FPGAs. The average wirelength results produced by the algorithm are 22.3% shorter than the results produced by the current hierarchical FPGA </span>placer.</span></p></div>","PeriodicalId":60306,"journal":{"name":"Tsinghua Science and Technology","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-02-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1016/S1007-0214(11)70010-4","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68067720","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":1,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
24-bit Low-Power Low-Cost Digital Audio Sigma-Delta DAC 24位低功耗低成本数字音频Sigma-Delta DAC
1区 计算机科学 Pub Date : 2011-02-01 DOI: 10.1016/S1007-0214(11)70012-8
Liu Yuyu (刘渝瑜), Gao Jun (高峻), Yang Xiaodong (杨晓东)

This paper describes a low-power low-cost 24-bit Σ-Δ digital-to-analog converter (DAC) for portable digital-audio applications. The interpolation filter uses a no-multiplier scheme to implement the arithmetic units and reading-writing common storage scheme for the delay-line to significantly reduce the die area. A 15-level quantizer, third-order, single-stage Σ-Δ modulator is employed to reduce the passband quantization noise, relax the out-of-band filtering requirements, and enhance immunity to clock jitter. A data weighted averaging algorithm is used to mitigate the nonlinearity caused by capacitor mismatch. A direct charge transfer switched-capacitor low-pass filter (DCT-SC LPF) is used to reconstruct the analog signal to reduce the kT/C noise and capacitor mismatch effect with a small increase of the power dissipation. The chip was fabricated in the SMIC 0.13 μm 1P5M CMOS process. The cell area of the digital part is 0.056 mm2 and the total area of the analog part is 0.34 mm2. The supply voltage is 1.2 V for the digital circuit and 3.3 V for the analog circuit. The power consumption of the analog part is 3.5 mW. The audio DAC achieves a 100 dB dynamic range and an 84 dB peak signal-to-noise-plus-distortion ratio over a 20 kHz passband. The results show that these performances are good enough for high quality portable audio applications.

本文介绍了一种用于便携式数字音频应用的低功耗低成本24位Σ-Δ数模转换器(DAC)。该插值滤波器采用无乘法器实现运算单元,并采用读写通用存储方式实现延迟线,大大减小了芯片面积。采用15级量化器、三阶单级Σ-Δ调制器,降低通带量化噪声,放宽带外滤波要求,增强对时钟抖动的抗扰性。采用数据加权平均算法减轻电容失配引起的非线性。采用直接电荷转移开关电容低通滤波器(DCT-SC LPF)对模拟信号进行重构,降低了模拟信号的kT/C噪声和电容失配效应,同时减小了模拟信号的功耗。该芯片采用中芯国际0.13 μm 1P5M CMOS工艺制备。数字部分的单元面积为0.056 mm2,模拟部分的总面积为0.34 mm2。数字电路电源电压为1.2 V,模拟电路电源电压为3.3 V。模拟部分的功耗为3.5 mW。音频DAC在20 kHz通频带上实现100 dB动态范围和84 dB峰值信噪加失真比。结果表明,这些性能足以满足高质量的便携式音频应用。
{"title":"24-bit Low-Power Low-Cost Digital Audio Sigma-Delta DAC","authors":"Liu Yuyu (刘渝瑜),&nbsp;Gao Jun (高峻),&nbsp;Yang Xiaodong (杨晓东)","doi":"10.1016/S1007-0214(11)70012-8","DOIUrl":"10.1016/S1007-0214(11)70012-8","url":null,"abstract":"<div><p>This paper describes a low-power low-cost 24-bit <em>Σ</em>-<em>Δ</em><span> digital-to-analog converter (DAC) for portable digital-audio applications. The interpolation filter uses a no-multiplier scheme to implement the arithmetic units and reading-writing common storage scheme for the delay-line to significantly reduce the die area. A 15-level quantizer, third-order, single-stage </span><em>Σ</em>-<em>Δ</em><span> modulator is employed to reduce the passband<span> quantization noise<span>, relax the out-of-band filtering requirements, and enhance immunity to clock jitter. A data weighted averaging algorithm is used to mitigate the nonlinearity caused by capacitor mismatch. A direct charge transfer switched-capacitor low-pass filter (DCT-SC LPF) is used to reconstruct the analog signal to reduce the </span></span></span><em>kT</em>/<em>C</em><span> noise and capacitor mismatch effect with a small increase of the power dissipation. The chip was fabricated in the SMIC 0.13 μm 1P5M CMOS process. The cell area of the digital part is 0.056 mm</span><sup>2</sup> and the total area of the analog part is 0.34 mm<sup>2</sup><span><span>. The supply voltage<span> is 1.2 V for the digital circuit and 3.3 V for the </span></span>analog circuit<span>. The power consumption of the analog part is 3.5 mW. The audio DAC achieves a 100 dB dynamic range and an 84 dB peak signal-to-noise-plus-distortion ratio over a 20 kHz passband. The results show that these performances are good enough for high quality portable audio applications.</span></span></p></div>","PeriodicalId":60306,"journal":{"name":"Tsinghua Science and Technology","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-02-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1016/S1007-0214(11)70012-8","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68067725","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":1,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Quantum Switching Based on the Nearest Neighbor Hamiltonian* 基于最近邻哈密顿量*的量子交换
1区 计算机科学 Pub Date : 2011-02-01 DOI: 10.1016/S1007-0214(11)70016-5
Jiang Min (姜敏) , Huang Xu (黄旭) , Zhou Yiming (周一鸣)

This paper describes a quantum switching architecture for nearest neighbor coupling. An efficient quantum shear sorting (QSS) algorithm is used to reduce the number of time steps. For the QSS algorithm, the running complexity of the quantum switching architecture is polynomial in time with the nearest neighbor coupling and the implementation is less complex. The result shows that improved switching is extremely simple to implement using existing quantum computer candidates.

本文描述了一种用于最近邻耦合的量子交换体系结构。采用一种高效的量子剪切排序(QSS)算法来减少时间步数。对于QSS算法,量子交换体系结构的运行复杂度在时间上是多项式的,具有最近邻耦合,并且实现的复杂性较低。结果表明,使用现有的候选量子计算机实现改进的开关非常简单。
{"title":"Quantum Switching Based on the Nearest Neighbor Hamiltonian*","authors":"Jiang Min (姜敏) ,&nbsp;Huang Xu (黄旭) ,&nbsp;Zhou Yiming (周一鸣)","doi":"10.1016/S1007-0214(11)70016-5","DOIUrl":"10.1016/S1007-0214(11)70016-5","url":null,"abstract":"<div><p>This paper describes a quantum switching architecture for nearest neighbor coupling. An efficient quantum shear sorting (QSS) algorithm is used to reduce the number of time steps. For the QSS algorithm, the running complexity of the quantum switching architecture is polynomial in time with the nearest neighbor coupling and the implementation is less complex. The result shows that improved switching is extremely simple to implement using existing quantum computer candidates.</p></div>","PeriodicalId":60306,"journal":{"name":"Tsinghua Science and Technology","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-02-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1016/S1007-0214(11)70016-5","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68068595","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":1,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
Tsinghua Science and Technology
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1