首页 > 最新文献

Frontiers in electronics最新文献

英文 中文
Fabrication of a Flexible Aqueous Textile Zinc-Ion Battery in a Single Fabric Layer 单织物层柔性水性纺织锌离子电池的制备
Pub Date : 2022-06-06 DOI: 10.3389/felec.2022.866527
S. Yong, N. Hillier, S. Beeby
Zinc-ion batteries (ZIB), with various manganese oxide-based cathodes, provide a promising solution for textile-based flexible energy storage devices. This paper demonstrates, for the first time, a flexible aqueous ZIB with manganese-based cathode fabricated in a single woven polyester cotton textile. The textile was functionalized with a flexible polymer membrane layer that fills the gaps between textile yarns, enabling fine control over the depth of penetration of the spray deposited manganese oxide cathode and zinc anode. This leaves an uncoated region in the textile-polymer network that acts as the battery’s separator. The textile battery cell was vacuum impregnated with the aqueous electrolyte, achieving good wettability of the electrodes with the electrolyte. Additionally, the choice of cathodic material and its influence over the electrochemical performance of the zinc ion battery was investigated with commercially available Manganese (IV) oxide and Manganese (II, III) oxide. The textile ZIB with Manganese (II, III) oxide cathode (10.9 mAh g−1 or 35.6 µA h.cm−2) achieved better performance than the textile ZIB with Manganese (IV) oxide (8.95 mAh g−1 or 24.2 µAh cm−2) at 1 mA cm−2 (0.3 A g−1). This work presents a novel all-textile battery architecture and demonstrates the capability of using manganese oxides as cathodes for a full textile-based flexible aqueous ZIB.
锌离子电池(ZIB)具有各种基于氧化锰的阴极,为基于纺织品的柔性储能设备提供了一种有前途的解决方案。本文首次展示了在涤纶-棉织物中制备的具有锰基阴极的柔性水性ZIB。织物用填充织物纱线之间间隙的柔性聚合物膜层进行功能化,从而能够精细控制喷涂沉积的氧化锰阴极和锌阳极的渗透深度。这在纺织聚合物网络中留下了一个未涂覆的区域,该区域充当电池的隔板。用含水电解质对织物电池进行真空浸渍,实现了电极与电解质的良好润湿性。此外,用市售的氧化锰(IV)和氧化锰(II,III)研究了阴极材料的选择及其对锌离子电池电化学性能的影响。在1 mA cm−2(0.3 A g−1)下,具有氧化锰(II,III)阴极(10.9 mAh g−1或35.6µA h.cm−2)的织物ZIB比具有氧化锰。这项工作提出了一种新型的全织物电池结构,并证明了使用锰氧化物作为全织物基柔性水性ZIB阴极的能力。
{"title":"Fabrication of a Flexible Aqueous Textile Zinc-Ion Battery in a Single Fabric Layer","authors":"S. Yong, N. Hillier, S. Beeby","doi":"10.3389/felec.2022.866527","DOIUrl":"https://doi.org/10.3389/felec.2022.866527","url":null,"abstract":"Zinc-ion batteries (ZIB), with various manganese oxide-based cathodes, provide a promising solution for textile-based flexible energy storage devices. This paper demonstrates, for the first time, a flexible aqueous ZIB with manganese-based cathode fabricated in a single woven polyester cotton textile. The textile was functionalized with a flexible polymer membrane layer that fills the gaps between textile yarns, enabling fine control over the depth of penetration of the spray deposited manganese oxide cathode and zinc anode. This leaves an uncoated region in the textile-polymer network that acts as the battery’s separator. The textile battery cell was vacuum impregnated with the aqueous electrolyte, achieving good wettability of the electrodes with the electrolyte. Additionally, the choice of cathodic material and its influence over the electrochemical performance of the zinc ion battery was investigated with commercially available Manganese (IV) oxide and Manganese (II, III) oxide. The textile ZIB with Manganese (II, III) oxide cathode (10.9 mAh g−1 or 35.6 µA h.cm−2) achieved better performance than the textile ZIB with Manganese (IV) oxide (8.95 mAh g−1 or 24.2 µAh cm−2) at 1 mA cm−2 (0.3 A g−1). This work presents a novel all-textile battery architecture and demonstrates the capability of using manganese oxides as cathodes for a full textile-based flexible aqueous ZIB.","PeriodicalId":73081,"journal":{"name":"Frontiers in electronics","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"43764148","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A New Impedance Sensor Based on Electronically Implemented Chaotic Coupled van der Pol and Damped Duffing Oscillators 一种基于电子实现的混沌耦合范德波尔和阻尼达夫芬振荡器的新型阻抗传感器
Pub Date : 2022-05-10 DOI: 10.3389/felec.2022.797525
B. G. Pedro, P. Bertemes-Filho
The chaotic behaviour of a system depends on the parameter values, and when the system exhibits such a chaotic behaviour, it is sensitive to the initial conditions. This feature can be used for manufacturing high sensitivity sensors. Sensors based on chaotic circuits have already been used for measuring water salinity, inductive effects, and both noise and weak signals. This article investigates an impedance sensor based on the van der Pol and Duffing damped oscillators. The calibration process is a key point and therefore the folding behaviour of signal periods was also explored. A sensitivity of 0.15 kΩ/Period was estimated over a range from 89.5 to 91.6 kΩ. This range can be adjusted according to the application by varying the gain of the operational amplifier used in this implementation. The development of this type of sensor might be used in medical and biological engineering for skin impedance measurements, for example. This type of chaotic impedance sensor has the advantage of sensing small disturbances and then rapidly detecting small impedance changes within biological materials.
系统的混沌行为取决于参数值,当系统表现出这种混沌行为时,它对初始条件很敏感。该特性可用于制造高灵敏度传感器。基于混沌电路的传感器已经被用于测量水的盐度、感应效应以及噪声和微弱信号。本文研究了一种基于范德波尔和达夫芬阻尼振荡器的阻抗传感器。校准过程是一个关键点,因此也探讨了信号周期的折叠行为。在89.5到91.6 kΩ的范围内,估计灵敏度为0.15 kΩ/Period。这个范围可以根据应用通过改变在这个实现中使用的运算放大器的增益来调整。例如,这种类型传感器的开发可能用于医学和生物工程,用于皮肤阻抗测量。这种类型的混沌阻抗传感器具有传感微小扰动,然后快速检测生物材料内部微小阻抗变化的优点。
{"title":"A New Impedance Sensor Based on Electronically Implemented Chaotic Coupled van der Pol and Damped Duffing Oscillators","authors":"B. G. Pedro, P. Bertemes-Filho","doi":"10.3389/felec.2022.797525","DOIUrl":"https://doi.org/10.3389/felec.2022.797525","url":null,"abstract":"The chaotic behaviour of a system depends on the parameter values, and when the system exhibits such a chaotic behaviour, it is sensitive to the initial conditions. This feature can be used for manufacturing high sensitivity sensors. Sensors based on chaotic circuits have already been used for measuring water salinity, inductive effects, and both noise and weak signals. This article investigates an impedance sensor based on the van der Pol and Duffing damped oscillators. The calibration process is a key point and therefore the folding behaviour of signal periods was also explored. A sensitivity of 0.15 kΩ/Period was estimated over a range from 89.5 to 91.6 kΩ. This range can be adjusted according to the application by varying the gain of the operational amplifier used in this implementation. The development of this type of sensor might be used in medical and biological engineering for skin impedance measurements, for example. This type of chaotic impedance sensor has the advantage of sensing small disturbances and then rapidly detecting small impedance changes within biological materials.","PeriodicalId":73081,"journal":{"name":"Frontiers in electronics","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-05-10","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"48654875","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Towards Next Generation Cleaning Tools: Factors Affecting Cleaning Robot Usage and Proxemic Behaviors Design 迈向下一代清洁工具:影响清洁机器人使用的因素及邻近行为设计
Pub Date : 2022-04-29 DOI: 10.3389/felec.2022.895001
Yuhao Chen, Yue Luo, Boyi Hu
Among all healthcare sectors and working processes, the janitorial section is a prominent source of work-related injuries due to its labor-intensive nature and rising need for a hygienic environment, thus requiring extra attention for prevention strategies. Advancement in robotic technology has allowed autonomous cleaning robots to be a viable solution to ease the burden of janitors. To evaluate the application of commercial-grade cleaning robots, a video-based survey was developed and distributed to participants. Results from 117 participants revealed that: 1) participants were less tolerant when their personal space was invaded by humans compared with the cleaning robot, 2) it is better to inform the surrounding humans that the cleaning robot has been sanitized to make them feel safe and comfortable during the pandemic, and 3) to make the interaction more socially acceptable, the cleaning robot should respect human personal space, especially when there is ample space to maneuver. The findings of the present study provide insight into the usage and Proxemic behaviors design of future cleaning robots.
在所有医疗保健部门和工作流程中,清洁部门是工伤的主要来源,因为其劳动密集型性质和对卫生环境的日益需求,因此需要格外注意预防策略。机器人技术的进步使自主清洁机器人成为减轻清洁工负担的可行解决方案。为了评估商业级清洁机器人的应用,开发了一项基于视频的调查,并分发给参与者。来自117名参与者的结果显示:1)与清洁机器人相比,参与者在个人空间被人类入侵时的容忍度较低;2)最好通知周围的人类清洁机器人已经消毒,以使他们在疫情期间感到安全和舒适;3)使互动更容易被社会接受,清洁机器人应该尊重人类的个人空间,尤其是在有足够空间操作的情况下。本研究的发现为未来清洁机器人的使用和代理行为设计提供了见解。
{"title":"Towards Next Generation Cleaning Tools: Factors Affecting Cleaning Robot Usage and Proxemic Behaviors Design","authors":"Yuhao Chen, Yue Luo, Boyi Hu","doi":"10.3389/felec.2022.895001","DOIUrl":"https://doi.org/10.3389/felec.2022.895001","url":null,"abstract":"Among all healthcare sectors and working processes, the janitorial section is a prominent source of work-related injuries due to its labor-intensive nature and rising need for a hygienic environment, thus requiring extra attention for prevention strategies. Advancement in robotic technology has allowed autonomous cleaning robots to be a viable solution to ease the burden of janitors. To evaluate the application of commercial-grade cleaning robots, a video-based survey was developed and distributed to participants. Results from 117 participants revealed that: 1) participants were less tolerant when their personal space was invaded by humans compared with the cleaning robot, 2) it is better to inform the surrounding humans that the cleaning robot has been sanitized to make them feel safe and comfortable during the pandemic, and 3) to make the interaction more socially acceptable, the cleaning robot should respect human personal space, especially when there is ample space to maneuver. The findings of the present study provide insight into the usage and Proxemic behaviors design of future cleaning robots.","PeriodicalId":73081,"journal":{"name":"Frontiers in electronics","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-04-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"46172427","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Transmission Electron Microscopy Study on the Effect of Thermal and Electrical Stimuli on Ge2Te3 Based Memristor Devices 热、电刺激对Ge2Te3基忆阻器器件影响的透射电镜研究
Pub Date : 2022-04-26 DOI: 10.3389/felec.2022.872163
Austin Shallcross, K. Mahalingam, E. Shin, G. Subramanyam, Md. Shahanur Alam, Tarek Taha, S. Ganguli, Cynthia T. Bowers, Benson Athey, A. Hilton, Anisha Roy, R. Dhall
Memristor devices fabricated using the chalcogenide Ge2Te3 phase change thin films in a metal-insulator-metal structure are characterized using thermal and electrical stimuli in this study. Once the thermal and electrical stimuli are applied, cross-sectional transmission electron microscopy (TEM) and X-ray energy-dispersive spectroscopy (XEDS) analyses are performed to determine structural and compositional changes in the devices. Electrical measurements on these devices showed a need for increasing compliance current between cycles to initiate switching from low resistance state (LRS) to high resistance state (HRS). The measured resistance in HRS also exhibited a steady decrease with increase in the compliance current. High resolution TEM studies on devices in HRS showed the presence of residual crystalline phase at the top-electrode/dielectric interface, which may explain the observed dependence on compliance current. XEDS study revealed diffusion related processes at dielectric-electrode interface characterized, by the separation of Ge2Te3 into Ge- and Te- enriched interfacial layers. This was also accompanied by spikes in O level at these regions. Furthermore, in-situ heating experiments on as-grown thin films revealed a deleterious effect of Ti adhesive layer, wherein the in-diffusion of Ti leads to further degradation of the dielectric layer. This experimental physics-based study shows that the large HRS/LRS ratio below the current compliance limit of 1 mA and the ability to control the HRS and LRS by varying the compliance current are attractive for memristor and neuromorphic computing applications.
采用金属-绝缘体-金属结构的硫系Ge2Te3相变薄膜制备了忆阻器器件,并利用热和电刺激对其进行了表征。一旦施加了热和电刺激,就会进行横断面透射电子显微镜(TEM)和x射线能量色散光谱(XEDS)分析,以确定器件的结构和成分变化。对这些设备的电气测量表明,需要增加周期之间的顺应电流,以启动从低电阻状态(LRS)切换到高电阻状态(HRS)。随着顺应电流的增大,HRS的测量电阻也呈现出稳定的下降趋势。对HRS器件的高分辨率TEM研究表明,在顶电极/介电界面存在残余晶相,这可能解释了观察到的对顺应电流的依赖。XEDS研究揭示了Ge2Te3在介电-电极界面上的扩散相关过程,其特征是Ge2Te3分离成富Ge和富Te界面层。与此同时,这些区域的O水平也出现了峰值。此外,原位加热实验揭示了Ti胶粘剂层的有害作用,其中Ti的内扩散导致介电层进一步降解。这项基于实验物理的研究表明,低于1 mA电流顺应极限的大HRS/LRS比以及通过改变顺应电流来控制HRS和LRS的能力对于记忆电阻器和神经形态计算应用具有吸引力。
{"title":"Transmission Electron Microscopy Study on the Effect of Thermal and Electrical Stimuli on Ge2Te3 Based Memristor Devices","authors":"Austin Shallcross, K. Mahalingam, E. Shin, G. Subramanyam, Md. Shahanur Alam, Tarek Taha, S. Ganguli, Cynthia T. Bowers, Benson Athey, A. Hilton, Anisha Roy, R. Dhall","doi":"10.3389/felec.2022.872163","DOIUrl":"https://doi.org/10.3389/felec.2022.872163","url":null,"abstract":"Memristor devices fabricated using the chalcogenide Ge2Te3 phase change thin films in a metal-insulator-metal structure are characterized using thermal and electrical stimuli in this study. Once the thermal and electrical stimuli are applied, cross-sectional transmission electron microscopy (TEM) and X-ray energy-dispersive spectroscopy (XEDS) analyses are performed to determine structural and compositional changes in the devices. Electrical measurements on these devices showed a need for increasing compliance current between cycles to initiate switching from low resistance state (LRS) to high resistance state (HRS). The measured resistance in HRS also exhibited a steady decrease with increase in the compliance current. High resolution TEM studies on devices in HRS showed the presence of residual crystalline phase at the top-electrode/dielectric interface, which may explain the observed dependence on compliance current. XEDS study revealed diffusion related processes at dielectric-electrode interface characterized, by the separation of Ge2Te3 into Ge- and Te- enriched interfacial layers. This was also accompanied by spikes in O level at these regions. Furthermore, in-situ heating experiments on as-grown thin films revealed a deleterious effect of Ti adhesive layer, wherein the in-diffusion of Ti leads to further degradation of the dielectric layer. This experimental physics-based study shows that the large HRS/LRS ratio below the current compliance limit of 1 mA and the ability to control the HRS and LRS by varying the compliance current are attractive for memristor and neuromorphic computing applications.","PeriodicalId":73081,"journal":{"name":"Frontiers in electronics","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-04-26","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"45332972","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Statistical Analysis Based Feature Selection Enhanced RF-PUF With > 99.8% Accuracy on Unmodified Commodity Transmitters for IoT Physical Security 基于统计分析的特征选择增强RF-PUF,在未修改的物联网物理安全商品发射器上具有> 99.8%的准确性
Pub Date : 2022-04-25 DOI: 10.3389/felec.2022.856284
Md Faizul Bari , Parv Agrawal , Baibhab Chatterjee , Shreyas Sen 
Due to the diverse and mobile nature of the deployment environment, smart commodity devices are vulnerable to various spoofing attacks which can allow a rogue device to get access to a large network. The vulnerability of the traditional digital signature-based authentication system lies in the fact that it uses only a key/pin, ignoring the device fingerprint. To circumvent the inherent weakness of the traditional system, various physical signature-based RF fingerprinting methods have been proposed in literature and RF-PUF is a promising choice among them. RF-PUF utilizes the inherent nonidealities of the traditional RF communication system as features at the receiver to uniquely identify a transmitter. It is resilient to key-hacking methods due to the absence of secret key requirements and does not require any additional circuitry on the transmitter end (no additional power, area, and computational burden). However, the concept of RF-PUF was proposed using MATLAB-generated data, which cannot ensure the presence of device entropy mapped to the system-level nonidealities. Hence, an experimental validation using commercial devices is necessary to prove its efficacy. In this work, for the first time, we analyze the effectiveness of RF-PUF on commodity devices, purchased off-the-shelf, without any modifications whatsoever. We have collected data from 30 Xbee S2C modules used as transmitters and released as a public dataset. A new feature has been engineered through PCA and statistical property analysis. With a new and robust feature set, it has been shown that 95% accuracy can be achieved using only ∼1.8 ms of test data fed into a neural network of 10 neurons in 1 layer, reaching > 99.8% accuracy with a network of higher model capacity, for the first time in literature without any assisting digital preamble. The design space has been explored in detail and the effect of the wireless channel has been investigated. The performance of some popular machine learning algorithms has been tested and compared with the neural network approach. A thorough investigation of various PUF properties has been done. With extensive testing of 41238000 cases, the detection probability for RF-PUF for our data is found to be 0.9987, which, for the first time, experimentally establishes RF-PUF as a strong authentication method. Finally, the potential attack models and the robustness of RF-PUF against them have been discussed.
由于部署环境的多样性和移动性,智能商品设备容易受到各种欺骗攻击,这些攻击可能允许恶意设备访问大型网络。传统的基于数字签名的认证系统的漏洞在于它只使用一个密钥/pin,而忽略了设备的指纹。为了克服传统系统固有的弱点,文献中提出了各种基于物理签名的射频指纹识别方法,其中RF- puf是一种很有前途的选择。RF- puf利用传统射频通信系统固有的非理想性作为接收器的特征来唯一地识别发射器。由于没有密钥要求,它对密钥黑客方法具有弹性,并且不需要在发送端上任何额外的电路(没有额外的功率、面积和计算负担)。然而,RF-PUF的概念是使用matlab生成的数据提出的,它不能保证存在映射到系统级非理想性的设备熵。因此,有必要使用商业设备进行实验验证以证明其有效性。在这项工作中,我们首次分析了RF-PUF在商品设备上的有效性,这些设备是现成的,没有任何修改。我们收集了30个Xbee S2C模块作为发射器的数据,并作为公共数据集发布。通过PCA和统计属性分析设计了一个新特性。通过新的鲁棒特征集,研究表明,仅将~ 1.8 ms的测试数据输入到1层10个神经元的神经网络中,就可以实现95%的准确率,使用更高模型容量的网络达到> 99.8%的准确率,这是文献中第一次在没有任何辅助数字序言的情况下。对设计空间进行了详细的探讨,并对无线信道的影响进行了研究。一些流行的机器学习算法的性能已经被测试,并与神经网络方法进行了比较。对PUF的各种特性进行了彻底的研究。通过对41238000个案例的广泛测试,我们的数据发现RF-PUF的检测概率为0.9987,这首次在实验上证明了RF-PUF是一种强认证方法。最后,讨论了RF-PUF的潜在攻击模型及其鲁棒性。
{"title":"Statistical Analysis Based Feature Selection Enhanced RF-PUF With > 99.8% Accuracy on Unmodified Commodity Transmitters for IoT Physical Security","authors":"Md Faizul Bari , Parv Agrawal , Baibhab Chatterjee , Shreyas Sen ","doi":"10.3389/felec.2022.856284","DOIUrl":"https://doi.org/10.3389/felec.2022.856284","url":null,"abstract":"Due to the diverse and mobile nature of the deployment environment, smart commodity devices are vulnerable to various spoofing attacks which can allow a rogue device to get access to a large network. The vulnerability of the traditional digital signature-based authentication system lies in the fact that it uses only a key/pin, ignoring the device fingerprint. To circumvent the inherent weakness of the traditional system, various physical signature-based RF fingerprinting methods have been proposed in literature and RF-PUF is a promising choice among them. RF-PUF utilizes the inherent nonidealities of the traditional RF communication system as features at the receiver to uniquely identify a transmitter. It is resilient to key-hacking methods due to the absence of secret key requirements and does not require any additional circuitry on the transmitter end (no additional power, area, and computational burden). However, the concept of RF-PUF was proposed using MATLAB-generated data, which cannot ensure the presence of device entropy mapped to the system-level nonidealities. Hence, an experimental validation using commercial devices is necessary to prove its efficacy. In this work, for the first time, we analyze the effectiveness of RF-PUF on commodity devices, purchased off-the-shelf, without any modifications whatsoever. We have collected data from 30 Xbee S2C modules used as transmitters and released as a public dataset. A new feature has been engineered through PCA and statistical property analysis. With a new and robust feature set, it has been shown that 95% accuracy can be achieved using only ∼1.8 ms of test data fed into a neural network of 10 neurons in 1 layer, reaching > 99.8% accuracy with a network of higher model capacity, for the first time in literature without any assisting digital preamble. The design space has been explored in detail and the effect of the wireless channel has been investigated. The performance of some popular machine learning algorithms has been tested and compared with the neural network approach. A thorough investigation of various PUF properties has been done. With extensive testing of 41238000 cases, the detection probability for RF-PUF for our data is found to be 0.9987, which, for the first time, experimentally establishes RF-PUF as a strong authentication method. Finally, the potential attack models and the robustness of RF-PUF against them have been discussed.","PeriodicalId":73081,"journal":{"name":"Frontiers in electronics","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-04-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79179937","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Design and Analysis of a Resistive Sensor Interface With Phase Noise-Energy-Resolution Scalability for a Time-Based Resistance-to-Digital Converter 基于时间的电阻-数字转换器中具有相位噪声-能量分辨率可扩展性的电阻传感器接口的设计与分析
Pub Date : 2022-04-25 DOI: 10.3389/felec.2022.792326
Dong-Hyun Seo, Baibhab Chatterjee, S. Scott, D. Valentino, D. Peroulis, Shreyas Sen
This article presents the design and analysis of a resistive sensor interface with three different designs of phase noise-energy-resolution scalability in time-based resistance-to-digital converters (RDCs), including test chip implementations and measurements, targeted toward either minimizing the energy/conversion step or maximizing bit-resolution. The implemented RDCs consist of a three-stage differential ring oscillator, which is current starved using the resistive sensor, a differential-to-single-ended amplifier, and digital modules and serial interface. The first RDC design (baseline) included the basic structure of time-based RDC and targeted low-energy/conversion step. The second RDC design (goal: higher-resolution) aimed to improve the rms jitter/phase noise of the oscillator with help of speed-up latches, to achieve high bit-resolution as compared to the first RDC design. The third RDC design (goal: process portability) reduced the power consumption by scaling the technology with the improved phase-noise design, achieving 1-bit better resolution as that of the second RDC design. Using time-based implementation, the RDCs exhibit energy-resolution scalability and consume a measured power of 861 nW with 18-bit resolution in design 1 in TSMC 0.35 μm technology (with 10 ms read-time, with one readout every second). Measurements of designs 2 and 3 demonstrate power consumption of 19.2 μW with 20-bit resolution using TSMC 0.35μm and 17.6 μW with 20-bit resolution using TSMC 0.18μm, respectively (both with 10 ms read-time, repeated every second). With 30 ms read-time, design 3 achieves 21-bit resolution, which is the highest resolution reported for a time-based ADC. The 0.35-μm time-based RDC is the lowest-power time-based ADC reported, while the 0.18-μm time-based RDC with speed-up latch offers the highest resolution. The active chip-area for all three designs is less than 1.1 mm2.
本文介绍了基于时间的电阻-数字转换器(rdc)中具有三种不同相位噪声-能量分辨率可扩展性设计的电阻传感器接口的设计和分析,包括测试芯片的实现和测量,目标是最小化能量/转换步骤或最大化位分辨率。实现的rdc包括一个三级差分环振荡器,该振荡器使用电阻传感器进行电流饥渴,一个差分到单端放大器,以及数字模块和串行接口。第一个RDC设计(基线)包括基于时间的RDC的基本结构和目标低能量/转换步骤。第二个RDC设计(目标:更高的分辨率)旨在通过加速锁存器改善振荡器的有效值抖动/相位噪声,以实现与第一个RDC设计相比的高比特分辨率。第三种RDC设计(目标:过程可移植性)通过改进的相位噪声设计扩展技术,降低了功耗,实现了比第二种RDC设计高1位的分辨率。采用基于时间的实现,rdc具有能量分辨率可扩展性,并且在设计1中采用台积电0.35 μm技术(读取时间为10 ms,每秒读取一次)的18位分辨率下消耗861 nW的测量功率。设计2和设计3的功耗分别为19.2 μW(20位分辨率,采用TSMC 0.35μm)和17.6 μW(20位分辨率,采用TSMC 0.18μm)(读取时间均为10 ms,每秒重复)。通过30ms的读取时间,设计3实现了21位分辨率,这是基于时间的ADC的最高分辨率。基于0.35 μm时间的RDC是目前所报道的功耗最低的基于时间的ADC,而带有加速锁存器的基于0.18 μm时间的RDC提供了最高的分辨率。三种设计的有效芯片面积均小于1.1 mm2。
{"title":"Design and Analysis of a Resistive Sensor Interface With Phase Noise-Energy-Resolution Scalability for a Time-Based Resistance-to-Digital Converter","authors":"Dong-Hyun Seo, Baibhab Chatterjee, S. Scott, D. Valentino, D. Peroulis, Shreyas Sen","doi":"10.3389/felec.2022.792326","DOIUrl":"https://doi.org/10.3389/felec.2022.792326","url":null,"abstract":"This article presents the design and analysis of a resistive sensor interface with three different designs of phase noise-energy-resolution scalability in time-based resistance-to-digital converters (RDCs), including test chip implementations and measurements, targeted toward either minimizing the energy/conversion step or maximizing bit-resolution. The implemented RDCs consist of a three-stage differential ring oscillator, which is current starved using the resistive sensor, a differential-to-single-ended amplifier, and digital modules and serial interface. The first RDC design (baseline) included the basic structure of time-based RDC and targeted low-energy/conversion step. The second RDC design (goal: higher-resolution) aimed to improve the rms jitter/phase noise of the oscillator with help of speed-up latches, to achieve high bit-resolution as compared to the first RDC design. The third RDC design (goal: process portability) reduced the power consumption by scaling the technology with the improved phase-noise design, achieving 1-bit better resolution as that of the second RDC design. Using time-based implementation, the RDCs exhibit energy-resolution scalability and consume a measured power of 861 nW with 18-bit resolution in design 1 in TSMC 0.35 μm technology (with 10 ms read-time, with one readout every second). Measurements of designs 2 and 3 demonstrate power consumption of 19.2 μW with 20-bit resolution using TSMC 0.35μm and 17.6 μW with 20-bit resolution using TSMC 0.18μm, respectively (both with 10 ms read-time, repeated every second). With 30 ms read-time, design 3 achieves 21-bit resolution, which is the highest resolution reported for a time-based ADC. The 0.35-μm time-based RDC is the lowest-power time-based ADC reported, while the 0.18-μm time-based RDC with speed-up latch offers the highest resolution. The active chip-area for all three designs is less than 1.1 mm2.","PeriodicalId":73081,"journal":{"name":"Frontiers in electronics","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-04-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"44153969","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Hardware-Software Co-Design of an In-Memory Transformer Network Accelerator 内存变压器网络加速器的软硬件协同设计
Pub Date : 2022-04-11 DOI: 10.3389/felec.2022.847069
Ann Franchesca Laguna, Mohammed Mehdi Sharifi, A. Kazemi, Xunzhao Yin, M. Niemier, Sharon Hu, Jae-sun Seo
Transformer networks have outperformed recurrent and convolutional neural networks in terms of accuracy in various sequential tasks. However, memory and compute bottlenecks prevent transformer networks from scaling to long sequences due to their high execution time and energy consumption. Different neural attention mechanisms have been proposed to lower computational load but still suffer from the memory bandwidth bottleneck. In-memory processing can help alleviate memory bottlenecks by reducing the transfer overhead between the memory and compute units, thus allowing transformer networks to scale to longer sequences. We propose an in-memory transformer network accelerator (iMTransformer) that uses a combination of crossbars and content-addressable memories to accelerate transformer networks. We accelerate transformer networks by (1) computing in-memory, thus minimizing the memory transfer overhead, (2) caching reusable parameters to reduce the number of operations, and (3) exploiting the available parallelism in the attention mechanism computation. To reduce energy consumption, the following techniques are introduced: (1) a configurable attention selector is used to choose different sparse attention patterns, (2) a content-addressable memory aided locality sensitive hashing helps to filter the number of sequence elements by their importance, and (3) FeFET-based crossbars are used to store projection weights while CMOS-based crossbars are used as an attentional cache to store attention scores for later reuse. Using a CMOS-FeFET hybrid iMTransformer introduced a significant energy improvement compared to the CMOS-only iMTransformer. The CMOS-FeFET hybrid iMTransformer achieved an 8.96× delay improvement and 12.57× energy improvement for the Vanilla transformers compared to the GPU baseline at a sequence length of 512. Implementing BERT using CMOS-FeFET hybrid iMTransformer achieves 13.71× delay improvement and 8.95× delay improvement compared to the GPU baseline at sequence length of 512. The hybrid iMTransformer also achieves a throughput of 2.23 K samples/sec and 124.8 samples/s/W using the MLPerf benchmark using BERT-large and SQuAD 1.1 dataset, an 11× speedup and 7.92× energy improvement compared to the GPU baseline.
变压器网络在各种顺序任务的准确性方面优于循环神经网络和卷积神经网络。然而,由于高执行时间和高能耗,内存和计算瓶颈阻碍了变压器网络扩展到长序列。人们提出了不同的神经注意机制来降低计算量,但仍然受到内存带宽瓶颈的困扰。内存中处理可以通过减少内存和计算单元之间的传输开销来帮助缓解内存瓶颈,从而允许变压器网络扩展到更长的序列。我们提出了一个内存中的变压器网络加速器(iMTransformer),它使用交叉栏和内容可寻址存储器的组合来加速变压器网络。我们通过以下方式加速变压器网络:(1)内存计算,从而最小化内存传输开销;(2)缓存可重用参数以减少操作次数;(3)利用注意力机制计算中的可用并行性。为了减少能量消耗,引入了以下技术:(1)使用可配置的注意力选择器来选择不同的稀疏注意力模式;(2)使用内容可寻址内存辅助的局部敏感哈希方法来根据序列元素的重要性过滤序列元素的数量;(3)使用基于fet的交叉条来存储投影权重,而使用基于cmos的交叉条作为注意力缓存来存储注意力分数以供以后重用。与仅使用cmos的iMTransformer相比,使用cmos - ffet混合iMTransformer可以显著改善能量。与GPU基线相比,CMOS-FeFET混合iMTransformer在序列长度为512时实现了8.96倍的延迟改进和12.57倍的能量改进。使用CMOS-FeFET混合iMTransformer实现BERT,在序列长度为512时,与GPU基线相比,延迟提高了13.71倍和8.95倍。混合iMTransformer还使用使用BERT-large和SQuAD 1.1数据集的MLPerf基准测试实现了2.23 K样本/秒和124.8样本/秒/W的吞吐量,与GPU基线相比,速度提高了11倍,能量提高了7.92倍。
{"title":"Hardware-Software Co-Design of an In-Memory Transformer Network Accelerator","authors":"Ann Franchesca Laguna, Mohammed Mehdi Sharifi, A. Kazemi, Xunzhao Yin, M. Niemier, Sharon Hu, Jae-sun Seo","doi":"10.3389/felec.2022.847069","DOIUrl":"https://doi.org/10.3389/felec.2022.847069","url":null,"abstract":"Transformer networks have outperformed recurrent and convolutional neural networks in terms of accuracy in various sequential tasks. However, memory and compute bottlenecks prevent transformer networks from scaling to long sequences due to their high execution time and energy consumption. Different neural attention mechanisms have been proposed to lower computational load but still suffer from the memory bandwidth bottleneck. In-memory processing can help alleviate memory bottlenecks by reducing the transfer overhead between the memory and compute units, thus allowing transformer networks to scale to longer sequences. We propose an in-memory transformer network accelerator (iMTransformer) that uses a combination of crossbars and content-addressable memories to accelerate transformer networks. We accelerate transformer networks by (1) computing in-memory, thus minimizing the memory transfer overhead, (2) caching reusable parameters to reduce the number of operations, and (3) exploiting the available parallelism in the attention mechanism computation. To reduce energy consumption, the following techniques are introduced: (1) a configurable attention selector is used to choose different sparse attention patterns, (2) a content-addressable memory aided locality sensitive hashing helps to filter the number of sequence elements by their importance, and (3) FeFET-based crossbars are used to store projection weights while CMOS-based crossbars are used as an attentional cache to store attention scores for later reuse. Using a CMOS-FeFET hybrid iMTransformer introduced a significant energy improvement compared to the CMOS-only iMTransformer. The CMOS-FeFET hybrid iMTransformer achieved an 8.96× delay improvement and 12.57× energy improvement for the Vanilla transformers compared to the GPU baseline at a sequence length of 512. Implementing BERT using CMOS-FeFET hybrid iMTransformer achieves 13.71× delay improvement and 8.95× delay improvement compared to the GPU baseline at sequence length of 512. The hybrid iMTransformer also achieves a throughput of 2.23 K samples/sec and 124.8 samples/s/W using the MLPerf benchmark using BERT-large and SQuAD 1.1 dataset, an 11× speedup and 7.92× energy improvement compared to the GPU baseline.","PeriodicalId":73081,"journal":{"name":"Frontiers in electronics","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-04-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"45869376","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Reservoir Computing for Temporal Data Classification Using a Dynamic Solid Electrolyte ZnO Thin Film Transistor 基于动态固体电解质ZnO薄膜晶体管的暂态数据分类库计算
Pub Date : 2022-04-11 DOI: 10.3389/felec.2022.869013
A. Gaurav, Xiaoyao Song, S. Manhas, Aditya Gilra, E. Vasilaki, P. Roy, M. M. De Souza
The processing of sequential and temporal data is essential to computer vision and speech recognition, two of the most common applications of artificial intelligence (AI). Reservoir computing (RC) is a branch of AI that offers a highly efficient framework for processing temporal inputs at a low training cost compared to conventional Recurrent Neural Networks (RNNs). However, despite extensive effort, two-terminal memristor-based reservoirs have, until now, been implemented to process sequential data by reading their conductance states only once, at the end of the entire sequence. This method reduces the dimensionality, related to the number of signals from the reservoir and thereby lowers the overall performance of reservoir systems. Higher dimensionality facilitates the separation of originally inseparable inputs by reading out from a larger set of spatiotemporal features of inputs. Moreover, memristor-based reservoirs either use multiple pulse rates, fast or slow read (immediately or with a delay introduced after the end of the sequence), or excitatory pulses to enhance the dimensionality of reservoir states. This adds to the complexity of the reservoir system and reduces power efficiency. In this paper, we demonstrate the first reservoir computing system based on a dynamic three terminal solid electrolyte ZnO/Ta2O5 Thin-film Transistor fabricated at less than 100°C. The inherent nonlinearity and dynamic memory of the device lead to a rich separation property of reservoir states that results in, to our knowledge, the highest accuracy of 94.44%, using electronic charge-based system, for the classification of hand-written digits. This improvement is attributed to an increase in the dimensionality of the reservoir by reading the reservoir states after each pulse rather than at the end of the sequence. The third terminal enables a read operation in the off state, that is when no pulse is applied at the gate terminal, via a small read pulse at the drain. This fundamentally allows multiple read operations without increasing energy consumption, which is not possible in the conventional two-terminal memristor counterpart. Further, we have also shown that devices do not saturate even after multiple write pulses which demonstrates the device’s ability to process longer sequences.
顺序和时间数据的处理对于计算机视觉和语音识别至关重要,这是人工智能(AI)最常见的两个应用。水库计算(RC)是人工智能的一个分支,与传统的递归神经网络(rnn)相比,它提供了一个高效的框架,以较低的训练成本处理时间输入。然而,尽管付出了巨大的努力,但到目前为止,基于双端记忆电阻器的储层只能通过在整个序列结束时读取一次电导状态来处理序列数据。该方法降低了与来自储层的信号数量相关的维数,从而降低了储层系统的整体性能。更高的维度通过读取输入的更大的时空特征集来促进原本不可分割的输入的分离。此外,基于忆阻器的储层可以使用多个脉冲速率,快速或慢速读取(立即读取或在序列结束后引入延迟),也可以使用兴奋脉冲来增强储层状态的维度。这增加了储层系统的复杂性,降低了功率效率。在本文中,我们展示了第一个基于动态三端固体电解质ZnO/Ta2O5薄膜晶体管的储层计算系统,该晶体管在低于100°C的温度下制造。该装置固有的非线性和动态记忆导致了丰富的储层状态分离特性,据我们所知,使用基于电子电荷的系统对手写数字进行分类的最高准确率为94.44%。这种改进归因于通过在每个脉冲之后读取储层状态而不是在序列结束时读取储层状态来增加储层的维度。第三终端通过漏极处的小读脉冲,使读操作处于关断状态,即当在闸极终端没有施加脉冲时。这从根本上允许多次读取操作而不增加能耗,这在传统的双端记忆电阻器中是不可能的。此外,我们还表明,即使在多次写入脉冲后,设备也不会饱和,这表明该设备能够处理更长的序列。
{"title":"Reservoir Computing for Temporal Data Classification Using a Dynamic Solid Electrolyte ZnO Thin Film Transistor","authors":"A. Gaurav, Xiaoyao Song, S. Manhas, Aditya Gilra, E. Vasilaki, P. Roy, M. M. De Souza","doi":"10.3389/felec.2022.869013","DOIUrl":"https://doi.org/10.3389/felec.2022.869013","url":null,"abstract":"The processing of sequential and temporal data is essential to computer vision and speech recognition, two of the most common applications of artificial intelligence (AI). Reservoir computing (RC) is a branch of AI that offers a highly efficient framework for processing temporal inputs at a low training cost compared to conventional Recurrent Neural Networks (RNNs). However, despite extensive effort, two-terminal memristor-based reservoirs have, until now, been implemented to process sequential data by reading their conductance states only once, at the end of the entire sequence. This method reduces the dimensionality, related to the number of signals from the reservoir and thereby lowers the overall performance of reservoir systems. Higher dimensionality facilitates the separation of originally inseparable inputs by reading out from a larger set of spatiotemporal features of inputs. Moreover, memristor-based reservoirs either use multiple pulse rates, fast or slow read (immediately or with a delay introduced after the end of the sequence), or excitatory pulses to enhance the dimensionality of reservoir states. This adds to the complexity of the reservoir system and reduces power efficiency. In this paper, we demonstrate the first reservoir computing system based on a dynamic three terminal solid electrolyte ZnO/Ta2O5 Thin-film Transistor fabricated at less than 100°C. The inherent nonlinearity and dynamic memory of the device lead to a rich separation property of reservoir states that results in, to our knowledge, the highest accuracy of 94.44%, using electronic charge-based system, for the classification of hand-written digits. This improvement is attributed to an increase in the dimensionality of the reservoir by reading the reservoir states after each pulse rather than at the end of the sequence. The third terminal enables a read operation in the off state, that is when no pulse is applied at the gate terminal, via a small read pulse at the drain. This fundamentally allows multiple read operations without increasing energy consumption, which is not possible in the conventional two-terminal memristor counterpart. Further, we have also shown that devices do not saturate even after multiple write pulses which demonstrates the device’s ability to process longer sequences.","PeriodicalId":73081,"journal":{"name":"Frontiers in electronics","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-04-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"47258307","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Exploiting Non-idealities of Resistive Switching Memories for Efficient Machine Learning 利用电阻开关存储器的非理想性实现高效的机器学习
Pub Date : 2022-03-25 DOI: 10.3389/felec.2022.825077
Victor Yon, A. Amirsoleimani, F. Alibart, R. Melko, D. Drouin, Y. Beilliard
Novel computing architectures based on resistive switching memories (also known as memristors or RRAMs) have been shown to be promising approaches for tackling the energy inefficiency of deep learning and spiking neural networks. However, resistive switch technology is immature and suffers from numerous imperfections, which are often considered limitations on implementations of artificial neural networks. Nevertheless, a reasonable amount of variability can be harnessed to implement efficient probabilistic or approximate computing. This approach turns out to improve robustness, decrease overfitting and reduce energy consumption for specific applications, such as Bayesian and spiking neural networks. Thus, certain non-idealities could become opportunities if we adapt machine learning methods to the intrinsic characteristics of resistive switching memories. In this short review, we introduce some key considerations for circuit design and the most common non-idealities. We illustrate the possible benefits of stochasticity and compression with examples of well-established software methods. We then present an overview of recent neural network implementations that exploit the imperfections of resistive switching memory, and discuss the potential and limitations of these approaches.
基于电阻开关存储器(也称为忆阻器或RRAM)的新型计算架构已被证明是解决深度学习和尖峰神经网络能量效率低下的有前途的方法。然而,电阻开关技术还不成熟,存在许多缺陷,这些缺陷通常被认为是对人工神经网络实现的限制。然而,可以利用合理的可变性来实现有效的概率或近似计算。这种方法提高了鲁棒性,减少了过拟合,并降低了特定应用的能耗,如贝叶斯和尖峰神经网络。因此,如果我们将机器学习方法适应电阻开关存储器的固有特性,某些非理想性可能会成为机会。在这篇简短的综述中,我们介绍了电路设计的一些关键考虑因素和最常见的非理想情况。我们用成熟的软件方法举例说明了随机性和压缩的可能好处。然后,我们概述了最近利用电阻开关记忆缺陷的神经网络实现,并讨论了这些方法的潜力和局限性。
{"title":"Exploiting Non-idealities of Resistive Switching Memories for Efficient Machine Learning","authors":"Victor Yon, A. Amirsoleimani, F. Alibart, R. Melko, D. Drouin, Y. Beilliard","doi":"10.3389/felec.2022.825077","DOIUrl":"https://doi.org/10.3389/felec.2022.825077","url":null,"abstract":"Novel computing architectures based on resistive switching memories (also known as memristors or RRAMs) have been shown to be promising approaches for tackling the energy inefficiency of deep learning and spiking neural networks. However, resistive switch technology is immature and suffers from numerous imperfections, which are often considered limitations on implementations of artificial neural networks. Nevertheless, a reasonable amount of variability can be harnessed to implement efficient probabilistic or approximate computing. This approach turns out to improve robustness, decrease overfitting and reduce energy consumption for specific applications, such as Bayesian and spiking neural networks. Thus, certain non-idealities could become opportunities if we adapt machine learning methods to the intrinsic characteristics of resistive switching memories. In this short review, we introduce some key considerations for circuit design and the most common non-idealities. We illustrate the possible benefits of stochasticity and compression with examples of well-established software methods. We then present an overview of recent neural network implementations that exploit the imperfections of resistive switching memory, and discuss the potential and limitations of these approaches.","PeriodicalId":73081,"journal":{"name":"Frontiers in electronics","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"48161611","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Multiplexing Error and Noise Reduction in Electrical Impedance Tomography Imaging 电阻抗断层成像中的多路复用误差和降噪
Pub Date : 2022-03-25 DOI: 10.3389/felec.2022.848618
M. Barreiro, Pablo Sánchez, Julián Vera, Matías Viera, Isabel Morales, Antonio Hector Dell´Osa, P. Bertemes-Filho, F. Simini
Electrical Impedance Tomography design can be simplified to obtain a low cost 16 electrodes edema monitoring clinical instrument by using voltage measurement multiplexing. Multiplexers introduce errors, which we have estimated by consecutive phantom measurements both using voltage multiplexers and by selecting the electrodes by hand, all other things being the same. Noise is taken care of by averaging. The EIDORS reconstruction of the phantom with multiplexed measurements is compared to the hand-selected electrode measurements reconstruction. The difference image obtained is considered an estimation of the multiplexer induced error. This measurement error is subtracted from the multiplexed object measurement matrix, giving a modified reconstruction which is closer to the hand-selected electrodes measurement based reconstruction than the multiplexed reconstruction. The quality factor of the uncorrected multiplexer obtained image of 57% is increased to 83% which is the best increase of three methods described. This suggests the benefit of a “calibration” phase for all 16 electrodes, prior to EIT reconstruction, using a set-up-specific “error matrix” to correct the data matrix before submission to the reconstruction method.
采用电压测量复用技术,简化电阻抗断层成像设计,获得低成本的16电极水肿监测临床仪器。多路复用器引入误差,我们通过使用电压多路复用器和手动选择电极的连续幻象测量来估计误差,所有其他事情都是相同的。噪声是通过平均处理的。采用多路测量的EIDORS重建与手工选择电极测量重建进行了比较。得到的差分图像被认为是对多路复用器诱导误差的估计。该测量误差从多路复用目标测量矩阵中减去,得到的修正重建比多路复用重建更接近于基于手工选择电极测量的重建。将未校正的多路复用器图像的质量因子从57%提高到83%,是三种方法中提高效果最好的。这表明在EIT重建之前,对所有16个电极进行“校准”阶段的好处,在提交给重建方法之前,使用特定设置的“误差矩阵”来纠正数据矩阵。
{"title":"Multiplexing Error and Noise Reduction in Electrical Impedance Tomography Imaging","authors":"M. Barreiro, Pablo Sánchez, Julián Vera, Matías Viera, Isabel Morales, Antonio Hector Dell´Osa, P. Bertemes-Filho, F. Simini","doi":"10.3389/felec.2022.848618","DOIUrl":"https://doi.org/10.3389/felec.2022.848618","url":null,"abstract":"Electrical Impedance Tomography design can be simplified to obtain a low cost 16 electrodes edema monitoring clinical instrument by using voltage measurement multiplexing. Multiplexers introduce errors, which we have estimated by consecutive phantom measurements both using voltage multiplexers and by selecting the electrodes by hand, all other things being the same. Noise is taken care of by averaging. The EIDORS reconstruction of the phantom with multiplexed measurements is compared to the hand-selected electrode measurements reconstruction. The difference image obtained is considered an estimation of the multiplexer induced error. This measurement error is subtracted from the multiplexed object measurement matrix, giving a modified reconstruction which is closer to the hand-selected electrodes measurement based reconstruction than the multiplexed reconstruction. The quality factor of the uncorrected multiplexer obtained image of 57% is increased to 83% which is the best increase of three methods described. This suggests the benefit of a “calibration” phase for all 16 electrodes, prior to EIT reconstruction, using a set-up-specific “error matrix” to correct the data matrix before submission to the reconstruction method.","PeriodicalId":73081,"journal":{"name":"Frontiers in electronics","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"42451218","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
期刊
Frontiers in electronics
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1