首页 > 最新文献

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems最新文献

英文 中文
Reverse Steepening of a Fault Coverage Curve 断层覆盖曲线的反向陡化
IF 2.9 3区 计算机科学 Q2 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2025-06-04 DOI: 10.1109/TCAD.2025.3576319
Irith Pomeranz
State-of-the-art technologies exhibit a variety of defects requiring test sets that detect a variety of fault models. Such a test set is large, and tests at the end of the test set detect small numbers of additional faults. Procedures that steepen the fault coverage curve help address constraints on test application time and test data volume of large test sets. Existing steepening procedures move tests detecting more faults to earlier positions of the test set. Such procedures are referred to as forward steepening procedures. This article takes a complementary view that results in a reverse steepening procedure. The procedure moves tests detecting fewer additional faults to later positions. Indirectly, it causes tests detecting more faults to appear in earlier positions. Experimental results for benchmark circuits in an academic simulation environment demonstrate the effectiveness of the reverse steepening procedure as a standalone procedure, and as part of a procedure that reduces the test data volume without reducing the fault coverage.
最先进的技术展示了各种缺陷,需要检测各种故障模型的测试集。这样的测试集很大,测试集末尾的测试检测到少量附加故障。使故障覆盖曲线变陡的过程有助于解决测试应用时间和大型测试集的测试数据量的限制。现有的陡化过程将检测到更多故障的测试移动到测试集的较早位置。这种程序称为前向变陡程序。这篇文章采取了一个互补的观点,结果在一个反向陡峭过程。该过程将检测到较少附加故障的测试移到后面的位置。间接地,它导致检测到更多故障的测试出现在更早的位置。在学术模拟环境中对基准电路的实验结果表明,反向陡峭过程作为一个独立的过程是有效的,并且作为一个过程的一部分,在不降低故障覆盖率的情况下减少了测试数据量。
{"title":"Reverse Steepening of a Fault Coverage Curve","authors":"Irith Pomeranz","doi":"10.1109/TCAD.2025.3576319","DOIUrl":"https://doi.org/10.1109/TCAD.2025.3576319","url":null,"abstract":"State-of-the-art technologies exhibit a variety of defects requiring test sets that detect a variety of fault models. Such a test set is large, and tests at the end of the test set detect small numbers of additional faults. Procedures that steepen the fault coverage curve help address constraints on test application time and test data volume of large test sets. Existing steepening procedures move tests detecting more faults to earlier positions of the test set. Such procedures are referred to as forward steepening procedures. This article takes a complementary view that results in a reverse steepening procedure. The procedure moves tests detecting fewer additional faults to later positions. Indirectly, it causes tests detecting more faults to appear in earlier positions. Experimental results for benchmark circuits in an academic simulation environment demonstrate the effectiveness of the reverse steepening procedure as a standalone procedure, and as part of a procedure that reduces the test data volume without reducing the fault coverage.","PeriodicalId":13251,"journal":{"name":"IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems","volume":"45 1","pages":"557-561"},"PeriodicalIF":2.9,"publicationDate":"2025-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"145904312","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Novel HDL Code Generator for Effectively Testing FPGA Logic Synthesis Compilers 一种有效测试FPGA逻辑综合编译器的新型HDL代码生成器
IF 2.9 3区 计算机科学 Q2 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2025-04-29 DOI: 10.1109/TCAD.2025.3565488
Zhihao Xu;Shikai Guo;Guilin Zhao;Peiyu Zou;Xiaochen Li;He Jiang
Field programmable gate array (FPGA) logic synthesis compilers (e.g., Vivado, Iverilog, Yosys, and Quartus) are widely applied in electronic design automation (EDA), such as the development of FPGA programs. However, defects (e.g., incorrect synthesis) in logic synthesis compilers may lead to unexpected behaviors in target applications, posing security risks. Therefore, it is crucial to thoroughly test logic synthesis compilers to eliminate such defects. Despite several hardware design language (HDL) code generators (e.g., Verismith) having been proposed to find defects in logic synthesis compilers, the effectiveness of these generators is still limited by the simple code generation strategy and the monogeneity of the generated HDL code. This article proposes EvoHDL, a novel method to generate syntax-valid HDL code for comprehensively testing FPGA logic synthesis compilers. EvoHDL can generate more complex and diverse defect-triggering HDL code (e.g., Verilog, VHDL, and SystemVerilog) by leveraging the guidance of abstract syntax tree and the extensive function block libraries of cyber-physical systems. Extensive experiments show that the diversity and defect-triggering capability of HDL code generated by EvoHDL are significantly better than the state-of-the-art method (i.e., Verismith). In three months, EvoHDL has reported 20 new defects-many of which are deep and important; 16 of them have been confirmed.
现场可编程门阵列(FPGA)逻辑合成编译器(如Vivado、Iverilog、Yosys和Quartus)广泛应用于电子设计自动化(EDA),如FPGA程序的开发。然而,逻辑综合编译器的缺陷(例如,不正确的综合)可能导致目标应用程序中的意外行为,从而带来安全风险。因此,彻底测试逻辑综合编译器以消除这些缺陷是至关重要的。尽管已经提出了几种硬件设计语言(HDL)代码生成器(例如Verismith)来查找逻辑综合编译器中的缺陷,但这些生成器的有效性仍然受到简单的代码生成策略和生成的HDL代码的单一性的限制。本文提出了EvoHDL,一种生成语法有效的HDL代码的新方法,用于FPGA逻辑综合编译器的综合测试。EvoHDL利用抽象语法树的指导和网络物理系统广泛的功能块库,可以生成更复杂、更多样的缺陷触发HDL代码(如Verilog、VHDL和SystemVerilog)。大量实验表明,EvoHDL生成的HDL代码的多样性和缺陷触发能力明显优于最先进的方法(即Verismith)。在三个月的时间里,EvoHDL报告了20个新的缺陷——其中许多是深刻而重要的;其中16人已得到确认。
{"title":"A Novel HDL Code Generator for Effectively Testing FPGA Logic Synthesis Compilers","authors":"Zhihao Xu;Shikai Guo;Guilin Zhao;Peiyu Zou;Xiaochen Li;He Jiang","doi":"10.1109/TCAD.2025.3565488","DOIUrl":"https://doi.org/10.1109/TCAD.2025.3565488","url":null,"abstract":"Field programmable gate array (FPGA) logic synthesis compilers (e.g., Vivado, Iverilog, Yosys, and Quartus) are widely applied in electronic design automation (EDA), such as the development of FPGA programs. However, defects (e.g., incorrect synthesis) in logic synthesis compilers may lead to unexpected behaviors in target applications, posing security risks. Therefore, it is crucial to thoroughly test logic synthesis compilers to eliminate such defects. Despite several hardware design language (HDL) code generators (e.g., Verismith) having been proposed to find defects in logic synthesis compilers, the effectiveness of these generators is still limited by the simple code generation strategy and the monogeneity of the generated HDL code. This article proposes EvoHDL, a novel method to generate syntax-valid HDL code for comprehensively testing FPGA logic synthesis compilers. EvoHDL can generate more complex and diverse defect-triggering HDL code (e.g., Verilog, VHDL, and SystemVerilog) by leveraging the guidance of abstract syntax tree and the extensive function block libraries of cyber-physical systems. Extensive experiments show that the diversity and defect-triggering capability of HDL code generated by EvoHDL are significantly better than the state-of-the-art method (i.e., Verismith). In three months, EvoHDL has reported 20 new defects-many of which are deep and important; 16 of them have been confirmed.","PeriodicalId":13251,"journal":{"name":"IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems","volume":"44 11","pages":"4405-4418"},"PeriodicalIF":2.9,"publicationDate":"2025-04-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"145335316","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Closed-Form Capacitance Network Compact Model and Monte Carlo Analysis of the GIDL-Assisted Potential Growth in 3-D NAND Flash String 封闭电容网络紧凑模型及三维NAND闪存串gidl辅助电位增长的蒙特卡罗分析
IF 2.9 3区 计算机科学 Q2 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2025-04-29 DOI: 10.1109/TCAD.2025.3565473
Sungju Kim;Hyungcheol Shin
This study proposes new physics-based terminal capacitance models derived from the select gate (SG) channel potential in the gate-induced drain leakage (GIDL)-assisted 3-D NAND Flash string. These models accurately predict the transient behavior of the string across various SG voltage (VSG) ramps, showing good agreement with computer-aided design (TCAD) simulation results. Their closed-form solutions eliminate iterative calculations, ensuring simulation program with integrated circuit emphasis (SPICE) compatibility and enabling monte-carlo (MC) simulations that account for various process variations and voltage ramp conditions. This approach provides critical insights into optimizing GIDL-assisted erase performance, advancing both the reliability and efficiency of next-generation 3-D NAND Flash memory.
本研究提出了新的基于物理的终端电容模型,该模型来源于栅极诱发漏极(GIDL)辅助的3-D NAND闪存串中的选择门(SG)通道电位。这些模型准确地预测了管柱在不同SG电压(VSG)斜坡上的瞬态行为,与计算机辅助设计(TCAD)仿真结果吻合良好。他们的封闭形式解决方案消除了迭代计算,确保模拟程序具有集成电路重点(SPICE)兼容性,并支持考虑各种工艺变化和电压斜坡条件的蒙特卡罗(MC)模拟。这种方法为优化gidl辅助擦除性能提供了关键见解,提高了下一代3-D NAND闪存的可靠性和效率。
{"title":"Closed-Form Capacitance Network Compact Model and Monte Carlo Analysis of the GIDL-Assisted Potential Growth in 3-D NAND Flash String","authors":"Sungju Kim;Hyungcheol Shin","doi":"10.1109/TCAD.2025.3565473","DOIUrl":"https://doi.org/10.1109/TCAD.2025.3565473","url":null,"abstract":"This study proposes new physics-based terminal capacitance models derived from the select gate (SG) channel potential in the gate-induced drain leakage (GIDL)-assisted 3-D NAND Flash string. These models accurately predict the transient behavior of the string across various SG voltage (VSG) ramps, showing good agreement with computer-aided design (TCAD) simulation results. Their closed-form solutions eliminate iterative calculations, ensuring simulation program with integrated circuit emphasis (SPICE) compatibility and enabling monte-carlo (MC) simulations that account for various process variations and voltage ramp conditions. This approach provides critical insights into optimizing GIDL-assisted erase performance, advancing both the reliability and efficiency of next-generation 3-D NAND Flash memory.","PeriodicalId":13251,"journal":{"name":"IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems","volume":"44 11","pages":"4438-4442"},"PeriodicalIF":2.9,"publicationDate":"2025-04-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"145335323","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Shared-PIM: Enabling Concurrent Computation and Data Flow for Faster Processing-in-DRAM Shared-PIM:在dram中实现更快处理的并发计算和数据流
IF 2.9 3区 计算机科学 Q2 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2025-04-29 DOI: 10.1109/TCAD.2025.3565466
Ahmed Mamdouh;Haoran Geng;Michael Niemier;Xiaobo Sharon Hu;Dayane Reis
Processing-in-memory (PIM) enhances memory with computational capabilities, potentially solving energy and latency issues associated with data transfer between memory and processors. However, managing concurrent computation and data flow within the PIM architecture incurs significant latency and energy penalty for applications. This article introduces Shared-PIM, an architecture for in-dynamic random-access memory (DRAM) PIM that strategically allocates rows in memory banks, bolstered by memory peripherals, for concurrent processing and data movement. Shared-PIM enables simultaneous computation and data transfer within a memory bank. When compared to LISA, a state-of-the-art (SOTA) architecture that facilitates data transfers for in-DRAM PIM, Shared-PIM reduces data movement latency and energy by $5times $ and $1.2times $ , respectively. Furthermore, when integrated to a SOTA in-DRAM PIM architecture (pLUTo), Shared-PIM achieves $1.4times $ faster addition and multiplication, and thereby improves the performance of matrix multiplication (MM) tasks by 40%, polynomial multiplication (PMM) by 44%, and numeric number transfer (NTT) tasks by 31%. Moreover, for graph processing tasks like breadth-first search (BFS) and depth-first search (DFS), Shared-PIM achieves a 29% improvement in speed, all with an area overhead of just 7.16% compared to the baseline pLUTo.
内存中处理(PIM)通过计算能力增强内存,潜在地解决了与内存和处理器之间的数据传输相关的能量和延迟问题。然而,在PIM体系结构中管理并发计算和数据流会给应用程序带来严重的延迟和能量损失。本文介绍了Shared-PIM,这是一种动态随机访问内存(DRAM) PIM的体系结构,它在内存库中战略性地分配行,由内存外设支持,用于并发处理和数据移动。Shared-PIM允许在内存库中同时进行计算和数据传输。LISA是一种最先进的(SOTA)架构,可促进dram PIM的数据传输,与LISA相比,Shared-PIM将数据移动延迟和能量分别降低了5倍和1.2倍。此外,当集成到SOTA in-DRAM PIM架构(pLUTo)时,Shared-PIM实现了1.4倍的加法和乘法速度,从而将矩阵乘法(MM)任务的性能提高了40%,多项式乘法(PMM)任务提高了44%,数字数字传输(NTT)任务提高了31%。此外,对于宽度优先搜索(BFS)和深度优先搜索(DFS)等图形处理任务,Shared-PIM的速度提高了29%,与基准pLUTo相比,所有这些任务的面积开销仅为7.16%。
{"title":"Shared-PIM: Enabling Concurrent Computation and Data Flow for Faster Processing-in-DRAM","authors":"Ahmed Mamdouh;Haoran Geng;Michael Niemier;Xiaobo Sharon Hu;Dayane Reis","doi":"10.1109/TCAD.2025.3565466","DOIUrl":"https://doi.org/10.1109/TCAD.2025.3565466","url":null,"abstract":"Processing-in-memory (PIM) enhances memory with computational capabilities, potentially solving energy and latency issues associated with data transfer between memory and processors. However, managing concurrent computation and data flow within the PIM architecture incurs significant latency and energy penalty for applications. This article introduces Shared-PIM, an architecture for in-dynamic random-access memory (DRAM) PIM that strategically allocates rows in memory banks, bolstered by memory peripherals, for concurrent processing and data movement. Shared-PIM enables simultaneous computation and data transfer within a memory bank. When compared to LISA, a state-of-the-art (SOTA) architecture that facilitates data transfers for in-DRAM PIM, Shared-PIM reduces data movement latency and energy by <inline-formula> <tex-math>$5times $ </tex-math></inline-formula> and <inline-formula> <tex-math>$1.2times $ </tex-math></inline-formula>, respectively. Furthermore, when integrated to a SOTA in-DRAM PIM architecture (pLUTo), Shared-PIM achieves <inline-formula> <tex-math>$1.4times $ </tex-math></inline-formula> faster addition and multiplication, and thereby improves the performance of matrix multiplication (MM) tasks by 40%, polynomial multiplication (PMM) by 44%, and numeric number transfer (NTT) tasks by 31%. Moreover, for graph processing tasks like breadth-first search (BFS) and depth-first search (DFS), Shared-PIM achieves a 29% improvement in speed, all with an area overhead of just 7.16% compared to the baseline pLUTo.","PeriodicalId":13251,"journal":{"name":"IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems","volume":"44 11","pages":"4395-4404"},"PeriodicalIF":2.9,"publicationDate":"2025-04-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"145335324","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems publication information IEEE集成电路与系统计算机辅助设计汇刊
IF 2.7 3区 计算机科学 Q2 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2025-04-22 DOI: 10.1109/TCAD.2025.3558209
{"title":"IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems publication information","authors":"","doi":"10.1109/TCAD.2025.3558209","DOIUrl":"https://doi.org/10.1109/TCAD.2025.3558209","url":null,"abstract":"","PeriodicalId":13251,"journal":{"name":"IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems","volume":"44 5","pages":"C3-C3"},"PeriodicalIF":2.7,"publicationDate":"2025-04-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10973770","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"143860884","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems society information 集成电路与系统计算机辅助设计学报
IF 2.7 3区 计算机科学 Q2 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2025-04-22 DOI: 10.1109/TCAD.2025.3558207
{"title":"IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems society information","authors":"","doi":"10.1109/TCAD.2025.3558207","DOIUrl":"https://doi.org/10.1109/TCAD.2025.3558207","url":null,"abstract":"","PeriodicalId":13251,"journal":{"name":"IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems","volume":"44 5","pages":"C2-C2"},"PeriodicalIF":2.7,"publicationDate":"2025-04-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10974374","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"143871022","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Corrections to “A Bidirectional Deep Learning Approach for Designing MEMS Sensors” 对“设计MEMS传感器的双向深度学习方法”的修正
IF 2.7 3区 计算机科学 Q2 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2025-04-22 DOI: 10.1109/TCAD.2025.3528617
Xiong Cheng;Pengfei Zhang;Yiqi Zhou;Daying Sun;Wenhua Gu;Yutao Yue;Xiaodong Huang
{"title":"Corrections to “A Bidirectional Deep Learning Approach for Designing MEMS Sensors”","authors":"Xiong Cheng;Pengfei Zhang;Yiqi Zhou;Daying Sun;Wenhua Gu;Yutao Yue;Xiaodong Huang","doi":"10.1109/TCAD.2025.3528617","DOIUrl":"https://doi.org/10.1109/TCAD.2025.3528617","url":null,"abstract":"","PeriodicalId":13251,"journal":{"name":"IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems","volume":"44 5","pages":"2026-2027"},"PeriodicalIF":2.7,"publicationDate":"2025-04-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10973771","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"143860817","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Reinforcement-Learning-Based Test Point Insertion for Power-Safe Testing in Monolithic 3-D ICs 基于强化学习的单片三维集成电路电源安全测试点插入
IF 2.9 3区 计算机科学 Q2 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2025-04-21 DOI: 10.1109/TCAD.2025.3562950
Shao-Chun Hung;Arjun Chaudhuri;Krishnendu Chakrabarty
Monolithic 3-D (M3D) integration for integrated circuits (ICs) offers the promise of higher performance and lower power consumption over stacked-3D ICs. However, M3D suffers from large power supply noise (PSN) in the power distribution network due to high current demand and long conduction paths from voltage sources to local receivers. Excessive switching activities during the capture cycles in at-speed delay testing exacerbate the PSN-induced voltage droop problem. Therefore, PSN reduction is necessary for M3D ICs during testing to prevent the failure of good chips on the tester (i.e., yield loss). In this article, we first develop an analysis flow for M3D designs to compute the PSN-induced voltage droop. Based on the analysis results, we extract the test patterns that are likely to cause yield loss. Next, we propose a reinforcement learning (RL)-based framework to insert test points and generate low-switching patterns that help in mitigating PSN without degrading the test coverage. Simulation results for benchmark M3D designs demonstrate the effectiveness of the proposed power-safe testing approach, compared to baseline cases that utilize commercial tools.
集成电路(ic)的单片3d (M3D)集成提供了比堆叠3d ic更高的性能和更低的功耗。然而,由于高电流需求和从电压源到本地接收器的长传导路径,M3D在配电网络中受到大电源噪声(PSN)的影响。在高速延迟测试中,捕获周期中过多的开关活动加剧了psn引起的电压下降问题。因此,在测试期间,降低M3D ic的PSN是必要的,以防止测试仪上的好芯片失效(即良率损失)。在本文中,我们首先为M3D设计开发了一个分析流程来计算psn引起的电压下降。根据分析结果,我们提取了可能导致产量损失的测试模式。接下来,我们提出了一个基于强化学习(RL)的框架来插入测试点并生成低切换模式,这有助于在不降低测试覆盖率的情况下减轻PSN。与使用商业工具的基准案例相比,基准M3D设计的仿真结果证明了所提出的电源安全测试方法的有效性。
{"title":"Reinforcement-Learning-Based Test Point Insertion for Power-Safe Testing in Monolithic 3-D ICs","authors":"Shao-Chun Hung;Arjun Chaudhuri;Krishnendu Chakrabarty","doi":"10.1109/TCAD.2025.3562950","DOIUrl":"https://doi.org/10.1109/TCAD.2025.3562950","url":null,"abstract":"Monolithic 3-D (M3D) integration for integrated circuits (ICs) offers the promise of higher performance and lower power consumption over stacked-3D ICs. However, M3D suffers from large power supply noise (PSN) in the power distribution network due to high current demand and long conduction paths from voltage sources to local receivers. Excessive switching activities during the capture cycles in at-speed delay testing exacerbate the PSN-induced voltage droop problem. Therefore, PSN reduction is necessary for M3D ICs during testing to prevent the failure of good chips on the tester (i.e., yield loss). In this article, we first develop an analysis flow for M3D designs to compute the PSN-induced voltage droop. Based on the analysis results, we extract the test patterns that are likely to cause yield loss. Next, we propose a reinforcement learning (RL)-based framework to insert test points and generate low-switching patterns that help in mitigating PSN without degrading the test coverage. Simulation results for benchmark M3D designs demonstrate the effectiveness of the proposed power-safe testing approach, compared to baseline cases that utilize commercial tools.","PeriodicalId":13251,"journal":{"name":"IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems","volume":"44 11","pages":"4419-4432"},"PeriodicalIF":2.9,"publicationDate":"2025-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"145335289","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Routability-Driven Macro Placement Engine for Modern FPGAs With Complex Cascade Shape and Region Constraints 具有复杂级联形状和区域约束的现代fpga的可达性驱动宏布局引擎
IF 2.9 3区 计算机科学 Q2 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2025-04-21 DOI: 10.1109/TCAD.2025.3562952
Hao Gu;Jian Gu;Keyu Peng;Jianli Chen;Jun Yang;Ziran Zhu
Field-programmable gate array (FPGA) macro placement holds a crucial role within the FPGA physical design flow since it substantially influences the subsequent stages of cell placement and routing. With the increasing number of macros and the complex cascade shape and region constraints imposed by modern FPGAs, the routability and macro placement have become much more challenging. In this article, we propose an effective and efficient routability-driven macro placement algorithm for modern FPGAs with cascade shape and region constraints. To reserve adequate space for cell placement and guarantee routability, we first develop a routability-driven mixed-size analytical global placement that evenly distributes both macros and cells while considering cascade shape and region constraints. Particularly, the proposed global placement engine integrates a well-trained congestion prediction model, targeting benchmarks with high routing congestion to enhance overall routability. Then, we propose an integer linear programming (ILP)-based cascade shape legalization followed by matching-based macro legalization to remove macro overlaps while satisfying the region constraints. Finally, a routability-driven detailed macro placement is proposed to refine the solution. Compared with the winners of the MLCAD 2023 FPGA macro placement contest and state-of-the-art works, experimental results show that our algorithm achieves the best overall score and routability.
现场可编程门阵列(FPGA)宏放置在FPGA物理设计流程中起着至关重要的作用,因为它实质上影响了单元放置和路由的后续阶段。随着现代fpga中宏数量的增加以及复杂的级联形状和区域约束,可达性和宏的放置变得更加具有挑战性。在本文中,我们提出了一种有效且高效的可达性驱动的宏布局算法,用于具有级联形状和区域约束的现代fpga。为了保留足够的空间放置细胞并保证可达性,我们首先开发了一个可达性驱动的混合大小分析全局布局,在考虑级联形状和区域约束的同时均匀分布宏和细胞。特别是,所提出的全局布局引擎集成了一个训练有素的拥塞预测模型,针对具有高路由拥塞的基准,以提高整体可达性。然后,我们提出了一种基于整数线性规划(ILP)的级联形状合法化,然后是基于匹配的宏合法化,以消除宏重叠,同时满足区域约束。最后,提出了一种可达性驱动的详细宏布局来完善该解决方案。实验结果表明,与MLCAD 2023 FPGA宏放置竞赛的优胜者和目前最先进的作品相比,我们的算法取得了最好的总分和可达性。
{"title":"Routability-Driven Macro Placement Engine for Modern FPGAs With Complex Cascade Shape and Region Constraints","authors":"Hao Gu;Jian Gu;Keyu Peng;Jianli Chen;Jun Yang;Ziran Zhu","doi":"10.1109/TCAD.2025.3562952","DOIUrl":"https://doi.org/10.1109/TCAD.2025.3562952","url":null,"abstract":"Field-programmable gate array (FPGA) macro placement holds a crucial role within the FPGA physical design flow since it substantially influences the subsequent stages of cell placement and routing. With the increasing number of macros and the complex cascade shape and region constraints imposed by modern FPGAs, the routability and macro placement have become much more challenging. In this article, we propose an effective and efficient routability-driven macro placement algorithm for modern FPGAs with cascade shape and region constraints. To reserve adequate space for cell placement and guarantee routability, we first develop a routability-driven mixed-size analytical global placement that evenly distributes both macros and cells while considering cascade shape and region constraints. Particularly, the proposed global placement engine integrates a well-trained congestion prediction model, targeting benchmarks with high routing congestion to enhance overall routability. Then, we propose an integer linear programming (ILP)-based cascade shape legalization followed by matching-based macro legalization to remove macro overlaps while satisfying the region constraints. Finally, a routability-driven detailed macro placement is proposed to refine the solution. Compared with the winners of the MLCAD 2023 FPGA macro placement contest and state-of-the-art works, experimental results show that our algorithm achieves the best overall score and routability.","PeriodicalId":13251,"journal":{"name":"IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems","volume":"44 11","pages":"4381-4394"},"PeriodicalIF":2.9,"publicationDate":"2025-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"145335332","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Hierarchical Behavioral Learning-Based Dynamic Electromigration Analysis for Signal Networks 基于层次行为学习的信号网络动态电迁移分析
IF 2.9 3区 计算机科学 Q2 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2025-04-15 DOI: 10.1109/TCAD.2025.3560586
Yupeng Hu;Yapeng Li;Jindong Tu;Tinghuan Chen;Qi Sun;Cheng Zhuo
In this work, we propose a novel post-layout netlist simplification, modeling, and agile electromigration (EM) analysis framework. First, we implement a hierarchical parasitic extraction strategy to partition the top-level post-layout netlist into functional modules, followed by a worst-case circuit analysis to quickly identify wires with potential for EM violations within each module. Next, the feedforward neural networks (FFNs) and long short-term memory (LSTM) models are employed to construct circuit behavioral models encompassing the input-output behavior and current responses of specified internal nodes. These models are subsequently converted into Verilog-A format for transistor-level simulation. Finally, we substitute the modules with generated Verilog-A models to reduce the circuit complexity. Our framework can significantly accelerate the simulation and EM analysis under different excitation signals. The experiments show that our framework yields up to $38.02times $ speedup in simulation time required for transistor-level EM analysis over the commercial tool, together with excellent accuracy.
在这项工作中,我们提出了一种新的布局后网络表简化,建模和敏捷电迁移(EM)分析框架。首先,我们实现了一种分层寄生提取策略,将顶层布局后网络列表划分为功能模块,然后进行最坏情况电路分析,以快速识别每个模块中可能存在EM违规的电线。其次,利用前馈神经网络(ffn)和长短期记忆(LSTM)模型构建包含特定内部节点输入输出行为和电流响应的电路行为模型。这些模型随后被转换成Verilog-A格式,用于晶体管级仿真。最后,我们用生成的Verilog-A模型代替这些模块,以降低电路的复杂度。该框架可以显著加快不同激励信号下的仿真和电磁分析。实验表明,与商业工具相比,我们的框架在晶体管级EM分析所需的模拟时间上可获得高达38.02倍的加速,同时具有出色的准确性。
{"title":"Hierarchical Behavioral Learning-Based Dynamic Electromigration Analysis for Signal Networks","authors":"Yupeng Hu;Yapeng Li;Jindong Tu;Tinghuan Chen;Qi Sun;Cheng Zhuo","doi":"10.1109/TCAD.2025.3560586","DOIUrl":"https://doi.org/10.1109/TCAD.2025.3560586","url":null,"abstract":"In this work, we propose a novel post-layout netlist simplification, modeling, and agile electromigration (EM) analysis framework. First, we implement a hierarchical parasitic extraction strategy to partition the top-level post-layout netlist into functional modules, followed by a worst-case circuit analysis to quickly identify wires with potential for EM violations within each module. Next, the feedforward neural networks (FFNs) and long short-term memory (LSTM) models are employed to construct circuit behavioral models encompassing the input-output behavior and current responses of specified internal nodes. These models are subsequently converted into Verilog-A format for transistor-level simulation. Finally, we substitute the modules with generated Verilog-A models to reduce the circuit complexity. Our framework can significantly accelerate the simulation and EM analysis under different excitation signals. The experiments show that our framework yields up to <inline-formula> <tex-math>$38.02times $ </tex-math></inline-formula> speedup in simulation time required for transistor-level EM analysis over the commercial tool, together with excellent accuracy.","PeriodicalId":13251,"journal":{"name":"IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems","volume":"44 11","pages":"4433-4437"},"PeriodicalIF":2.9,"publicationDate":"2025-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"145335288","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:604180095
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1