首页 > 最新文献

2015 IEEE 24th Asian Test Symposium (ATS)最新文献

英文 中文
Detection of test Patterns with Unreachable States through Efficient Inductive-Invariant Identification 基于高效归纳不变识别的不可达状态测试模式检测
Pub Date : 2015-11-22 DOI: 10.1109/ATS.2015.13
M. Fujita
When testing sequential circuits with scan chains, the test patterns are generated on their combinational parts assuming that all value combinations can be used for flip-flops. On the other hands, if target circuits have initial values for flip-flops, it is well known that the sets of reachable states may be much smaller than the entire state space, and there are lots of value combinations for flip-flops which can never be realized in the normal operations starting with the initial states. Therefore, there are possibilities that the values used for the flip-flops in the set of test patterns cannot be realized through normal operations, and there may be over testing issues, as some of the detectable faults by the given test patterns are actually non-detectable under the normal operations. In this paper, we first give a quick way to generate super sets of reachable states on the values of the given subset of flipflops based on QBF (Quantified Boolean Formula) formulation. By limiting the numbers of flipflops in the subset to small, such as 6 or so, we can generate an inductive-invariant for the values of the given subset of flipflops in less than a second for any ISCAS89 circuits. The generated invariant corresponds to a superset of reachable states assuming that the initial state is the one where all flipflop values are zero (or some specific values), and the complement of an invariant is a subset of unreachable states. It is shown that close to the half of a typical set of compacted test patterns for stuck-at (single and multiple) faults on ISCAS89 circuits are using the values from the computed unreachable states, i.e., possibly over testing the circuits, if the initial state is the all zero state. Then we generate the sets of test patterns for stuck-at faults (single and multiple) which never use the values inside the subset of unreachable states. The resulting sets of test patterns become several times larger than a compacted test patterns without considering unreachable states.
当测试具有扫描链的顺序电路时,假设所有的值组合都可以用于触发器,则在其组合部分上生成测试模式。另一方面,如果目标电路具有触发器的初始值,则已知可达状态集可能远远小于整个状态空间,并且存在许多从初始状态开始的正常操作无法实现的触发器值组合。因此,有可能在测试模式集合中用于触发器的值不能通过正常操作实现,并且可能存在过度测试问题,因为通过给定的测试模式可以检测到的一些故障实际上在正常操作下是无法检测到的。本文首先给出了一种基于QBF (Quantified Boolean Formula)公式在给定触发器子集的值上快速生成可达状态超集的方法。通过限制子集中触发器的数量,例如6个左右,对于任何ISCAS89电路,我们可以在不到一秒的时间内为给定触发器子集的值生成一个电感不变量。生成的不变量对应于可达状态的超集,假设初始状态是所有触发器值为零(或某些特定值)的状态,并且不变量的补是不可达状态的子集。结果表明,ISCAS89电路上的卡滞(单个和多个)故障的典型压缩测试模式集的近一半使用的是计算出的不可达状态的值,即,如果初始状态为全零状态,可能会对电路进行过度测试。然后,我们为卡在故障(单个和多个)生成测试模式集,这些模式集从不使用不可达状态子集内的值。在不考虑不可达状态的情况下,测试模式的结果集比压缩测试模式大几倍。
{"title":"Detection of test Patterns with Unreachable States through Efficient Inductive-Invariant Identification","authors":"M. Fujita","doi":"10.1109/ATS.2015.13","DOIUrl":"https://doi.org/10.1109/ATS.2015.13","url":null,"abstract":"When testing sequential circuits with scan chains, the test patterns are generated on their combinational parts assuming that all value combinations can be used for flip-flops. On the other hands, if target circuits have initial values for flip-flops, it is well known that the sets of reachable states may be much smaller than the entire state space, and there are lots of value combinations for flip-flops which can never be realized in the normal operations starting with the initial states. Therefore, there are possibilities that the values used for the flip-flops in the set of test patterns cannot be realized through normal operations, and there may be over testing issues, as some of the detectable faults by the given test patterns are actually non-detectable under the normal operations. In this paper, we first give a quick way to generate super sets of reachable states on the values of the given subset of flipflops based on QBF (Quantified Boolean Formula) formulation. By limiting the numbers of flipflops in the subset to small, such as 6 or so, we can generate an inductive-invariant for the values of the given subset of flipflops in less than a second for any ISCAS89 circuits. The generated invariant corresponds to a superset of reachable states assuming that the initial state is the one where all flipflop values are zero (or some specific values), and the complement of an invariant is a subset of unreachable states. It is shown that close to the half of a typical set of compacted test patterns for stuck-at (single and multiple) faults on ISCAS89 circuits are using the values from the computed unreachable states, i.e., possibly over testing the circuits, if the initial state is the all zero state. Then we generate the sets of test patterns for stuck-at faults (single and multiple) which never use the values inside the subset of unreachable states. The resulting sets of test patterns become several times larger than a compacted test patterns without considering unreachable states.","PeriodicalId":256879,"journal":{"name":"2015 IEEE 24th Asian Test Symposium (ATS)","volume":"83 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121123522","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
TestExpress - New Time-Effective Scan-Based Deterministic Test Paradigm TestExpress——新的基于扫描的时效性确定性测试范例
Pub Date : 2015-11-22 DOI: 10.1109/ATS.2015.11
Grzegorz Mrugalski, J. Rajski, J. Solecki, J. Tyszer, Chen Wang
This paper presents a novel scan-based DFT paradigm. Compared to conventional scan, the presented approach either significantly reduces test application time while preserving high fault coverage, or allows applying much larger number of vectors within the same time interval. An equally important factor is the power dissipated during test - with the new scheme it remains similar to that of the mission mode. Several techniques are introduced that allow easy integration of the proposed scheme with the state-of-the-art test generation and application methods. In particular, the new scheme uses redesigned scan cells to dynamically configure scan chains into different modes of operation for use with the underlying test-per-clock principle. Experimental results obtained for large and complex industrial ASIC designs illustrate feasibility of the proposed test schemes and are reported herein.
本文提出了一种新的基于扫描的DFT范式。与传统的扫描相比,所提出的方法在保持高故障覆盖率的同时显着减少了测试应用时间,或者允许在相同的时间间隔内应用更多数量的向量。另一个同样重要的因素是测试时的功耗——新方案下的功耗和任务模式差不多。介绍了几种技术,使所提出的方案与最先进的测试生成和应用方法容易集成。特别是,新方案使用重新设计的扫描单元来动态配置扫描链到不同的操作模式,以便与底层的每时钟测试原则一起使用。在大型和复杂的工业专用集成电路设计中获得的实验结果证明了所提出的测试方案的可行性。
{"title":"TestExpress - New Time-Effective Scan-Based Deterministic Test Paradigm","authors":"Grzegorz Mrugalski, J. Rajski, J. Solecki, J. Tyszer, Chen Wang","doi":"10.1109/ATS.2015.11","DOIUrl":"https://doi.org/10.1109/ATS.2015.11","url":null,"abstract":"This paper presents a novel scan-based DFT paradigm. Compared to conventional scan, the presented approach either significantly reduces test application time while preserving high fault coverage, or allows applying much larger number of vectors within the same time interval. An equally important factor is the power dissipated during test - with the new scheme it remains similar to that of the mission mode. Several techniques are introduced that allow easy integration of the proposed scheme with the state-of-the-art test generation and application methods. In particular, the new scheme uses redesigned scan cells to dynamically configure scan chains into different modes of operation for use with the underlying test-per-clock principle. Experimental results obtained for large and complex industrial ASIC designs illustrate feasibility of the proposed test schemes and are reported herein.","PeriodicalId":256879,"journal":{"name":"2015 IEEE 24th Asian Test Symposium (ATS)","volume":"14 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117341996","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
A New Scan Flip Flop Design to Eliminate Performance Penalty of Scan 一种消除扫描性能损失的新型扫描触发器设计
Pub Date : 2015-11-22 DOI: 10.1109/ATS.2015.12
Satyadev Ahlawat, Jaynarayan T. Tudu, A. Matrosova, Virendra Singh
The demand for high performance system-on-chips (SoC) in communication and computing has been growing continuously. To meet the performance goals, very aggressive circuit design techniques such as the use of smallest possible logic depth are being practiced. Replacement of normal flip-flops with scan flip-flops adds an additional multiplexer delay to critical path. Furthermore as the combinational depth decreases, the performance degradation caused by scan multiplexer delay become more critical. Elimination of the scan multiplexer delay off the functional path has become crucial in maintaining the circuit performance. In this work we propose a new transistor level scan cell design to eliminate the scan multiplexer off the functional path. The proposed scan cell uses separate master latch for functional and test mode where as the slave latch is same in both the modes. Our proposed scan flip-flop fully comply with the conventional test flow. Post layout experimental results justify the effectiveness of the proposed scan cell design in eliminating the performance penalty of scan, and thus in improving the timing performance of integrated circuits.
通信和计算领域对高性能片上系统(SoC)的需求不断增长。为了达到性能目标,非常激进的电路设计技术,如使用尽可能小的逻辑深度正在实践中。用扫描触发器替换普通触发器会给关键路径增加额外的多路复用器延迟。此外,随着组合深度的减小,扫描复用器延迟引起的性能下降变得更加严重。消除扫描多路复用器在功能路径上的延迟已成为保持电路性能的关键。在这项工作中,我们提出了一种新的晶体管级扫描单元设计,以消除扫描多路复用器的功能路径。所提出的扫描单元对功能模式和测试模式使用单独的主锁存器,其中从锁存器在两种模式中都是相同的。我们提出的扫描触发器完全符合常规的测试流程。后布局实验结果证明了所提出的扫描单元设计在消除扫描性能损失方面的有效性,从而提高了集成电路的时序性能。
{"title":"A New Scan Flip Flop Design to Eliminate Performance Penalty of Scan","authors":"Satyadev Ahlawat, Jaynarayan T. Tudu, A. Matrosova, Virendra Singh","doi":"10.1109/ATS.2015.12","DOIUrl":"https://doi.org/10.1109/ATS.2015.12","url":null,"abstract":"The demand for high performance system-on-chips (SoC) in communication and computing has been growing continuously. To meet the performance goals, very aggressive circuit design techniques such as the use of smallest possible logic depth are being practiced. Replacement of normal flip-flops with scan flip-flops adds an additional multiplexer delay to critical path. Furthermore as the combinational depth decreases, the performance degradation caused by scan multiplexer delay become more critical. Elimination of the scan multiplexer delay off the functional path has become crucial in maintaining the circuit performance. In this work we propose a new transistor level scan cell design to eliminate the scan multiplexer off the functional path. The proposed scan cell uses separate master latch for functional and test mode where as the slave latch is same in both the modes. Our proposed scan flip-flop fully comply with the conventional test flow. Post layout experimental results justify the effectiveness of the proposed scan cell design in eliminating the performance penalty of scan, and thus in improving the timing performance of integrated circuits.","PeriodicalId":256879,"journal":{"name":"2015 IEEE 24th Asian Test Symposium (ATS)","volume":"20 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115464875","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Improved Methods for Accurate Safety Analysis of Real-Life Systems 现实系统精确安全分析的改进方法
Pub Date : 2015-11-22 DOI: 10.1109/ATS.2015.37
V. Prasanth, R. Parekhji, B. Amrutur
Integrated circuits are being used in different applications which are not always known at the time of specification and design creation. Safety standards specify that certain design processes be followed to guarantee safety of the applications in which these circuits are being used. As a result, the design phase is followed (often mandated) by an evaluation phase, wherein the safety worthiness of the circuit must be ascertained. In this paper, we perform a detailed study of such an evaluation as practised in the industry, understand the limitations, and propose techniques to improve the existing methodology. The improvements proposed are: (i) Capturing workload diversity as input constraints (values and sequence). (ii) Modelling application specific performance tolerance. (iii) Illustrating how physical system can be included into this analysis using a suitable representation. (iv) Budgeting of tolerance across various interacting modules to reduce computational complexity of safety analysis. Experimental results to illustrate suitability of the proposed methods are presented using a set of ITC benchmark circuits and two representative industrial circuits.
集成电路被用于不同的应用,在规范和设计创作时并不总是知道。安全标准规定了必须遵循的某些设计过程,以保证使用这些电路的应用的安全性。因此,设计阶段(通常是强制性的)之后是评估阶段,其中必须确定电路的安全价值。在本文中,我们对行业中实践的这种评估进行了详细的研究,了解了局限性,并提出了改进现有方法的技术。建议的改进是:(i)捕捉工作量多样性作为输入限制(值和顺序)。(ii)模拟特定应用程序的性能容忍度。(iii)说明如何使用合适的表示将物理系统纳入此分析。为各种相互作用的模块编制公差预算,以减少安全分析的计算复杂性。利用一组ITC基准电路和两个具有代表性的工业电路,给出了实验结果来说明所提出方法的适用性。
{"title":"Improved Methods for Accurate Safety Analysis of Real-Life Systems","authors":"V. Prasanth, R. Parekhji, B. Amrutur","doi":"10.1109/ATS.2015.37","DOIUrl":"https://doi.org/10.1109/ATS.2015.37","url":null,"abstract":"Integrated circuits are being used in different applications which are not always known at the time of specification and design creation. Safety standards specify that certain design processes be followed to guarantee safety of the applications in which these circuits are being used. As a result, the design phase is followed (often mandated) by an evaluation phase, wherein the safety worthiness of the circuit must be ascertained. In this paper, we perform a detailed study of such an evaluation as practised in the industry, understand the limitations, and propose techniques to improve the existing methodology. The improvements proposed are: (i) Capturing workload diversity as input constraints (values and sequence). (ii) Modelling application specific performance tolerance. (iii) Illustrating how physical system can be included into this analysis using a suitable representation. (iv) Budgeting of tolerance across various interacting modules to reduce computational complexity of safety analysis. Experimental results to illustrate suitability of the proposed methods are presented using a set of ITC benchmark circuits and two representative industrial circuits.","PeriodicalId":256879,"journal":{"name":"2015 IEEE 24th Asian Test Symposium (ATS)","volume":"2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128051664","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Scan Chain Reordering-Aware X-Filling and Stitching for Scan Shift Power Reduction 扫描链重排序感知x填充和拼接扫描移位功率降低
Pub Date : 2015-11-22 DOI: 10.1109/ATS.2015.8
Sungyoul Seo, Yong Lee, Hyeonchan Lim, Joohwan Lee, Hongbom Yoo, Yojoung Kim, Sungho Kang
As a scan-based testing enables higher test coverage and faster test time than alternative ways, it is widely used by most system-on-chip (SoC) designers. However, since the number of logic gates is over one hundred million gates, a number of scan cells lead to excessive power consumption and it produces a low shifting frequency during the scan shifting mode. In this paper, we present a new scan shift power reduction method based on a scan chain reordering (SR)-aware X-filling and a stitching method. There is no need to require an additional logic for reducing the scan shift power, just a little routing overhead. Experimental results show that this method improves scan shift power consumption on benchmark circuits in most cases compared to the results of the previous works.
由于基于扫描的测试比其他方法具有更高的测试覆盖率和更快的测试时间,因此被大多数片上系统(SoC)设计人员广泛使用。然而,由于逻辑门的数量超过一亿个门,扫描单元的数量过多导致功耗过大,并且在扫描移位模式中产生低移位频率。本文提出了一种基于扫描链重排序(SR)感知的x填充和拼接方法的扫描位移功率降低方法。不需要额外的逻辑来降低扫描移位功率,只需要一点路由开销。实验结果表明,与以往的研究结果相比,该方法在大多数情况下提高了基准电路的扫描移位功耗。
{"title":"Scan Chain Reordering-Aware X-Filling and Stitching for Scan Shift Power Reduction","authors":"Sungyoul Seo, Yong Lee, Hyeonchan Lim, Joohwan Lee, Hongbom Yoo, Yojoung Kim, Sungho Kang","doi":"10.1109/ATS.2015.8","DOIUrl":"https://doi.org/10.1109/ATS.2015.8","url":null,"abstract":"As a scan-based testing enables higher test coverage and faster test time than alternative ways, it is widely used by most system-on-chip (SoC) designers. However, since the number of logic gates is over one hundred million gates, a number of scan cells lead to excessive power consumption and it produces a low shifting frequency during the scan shifting mode. In this paper, we present a new scan shift power reduction method based on a scan chain reordering (SR)-aware X-filling and a stitching method. There is no need to require an additional logic for reducing the scan shift power, just a little routing overhead. Experimental results show that this method improves scan shift power consumption on benchmark circuits in most cases compared to the results of the previous works.","PeriodicalId":256879,"journal":{"name":"2015 IEEE 24th Asian Test Symposium (ATS)","volume":"40 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132088004","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
Test Infrastructure Development and Test Scheduling of 3D-Stacked ICs under Resource and Power Constraints 资源和功耗约束下3d堆叠集成电路的测试基础设施开发与测试调度
Pub Date : 2015-11-22 DOI: 10.1109/ATS.2015.20
R. Karmakar, Aditya Agarwal, S. Chattopadhyay
This paper presents a test infrastructure development and test scheduling strategy for 3D-SICs under resource (test pins and TSVs) and power constraints. Depending upon the various scheduling restrictions, two test scheduling strategies have been proposed with an objective to minimize the overall test time (TT) of the stack. A step-by-step approach deals with the individual dies separately and develops power-restricted test schedules for each die and finally decides test concurrency between the dies satisfying the resources and power limits of the stack. Particle Swarm Optimization (PSO) based meta search technique has been used to select the resource allocation and power distribution to individual dies and also their internal test schedules. Incorporation of PSO in two stages of optimization produces a notable reduction in the overall test time of the SIC. Another integrated approach uses PSO to generate power-constrained test schedule of the entire SIC in a single optimization step. Integrated approach produces better results than the step-by-step approach because of its higher flexibility with lesser restrictions. User may select any of the scheduling strategies depending upon the scheduling criteria.
本文提出了一种在资源(测试引脚和tsv)和功率限制下的3d - sic测试基础设施开发和测试调度策略。根据不同的调度限制,提出了两种测试调度策略,目的是最小化堆栈的总测试时间(TT)。一步一步的方法分别处理单个模具,并为每个模具制定功耗限制的测试计划,最终确定满足堆栈资源和功耗限制的模具之间的测试并发性。采用基于粒子群优化(PSO)的元搜索技术来选择单个模具的资源分配和功率分配以及内部测试计划。在优化的两个阶段中加入PSO,可以显著减少SIC的总体测试时间。另一种集成方法使用粒子群算法在单个优化步骤中生成整个SIC的功耗约束测试计划。集成方法比分步方法产生更好的结果,因为它具有更高的灵活性和更少的限制。用户可以根据调度标准选择任何调度策略。
{"title":"Test Infrastructure Development and Test Scheduling of 3D-Stacked ICs under Resource and Power Constraints","authors":"R. Karmakar, Aditya Agarwal, S. Chattopadhyay","doi":"10.1109/ATS.2015.20","DOIUrl":"https://doi.org/10.1109/ATS.2015.20","url":null,"abstract":"This paper presents a test infrastructure development and test scheduling strategy for 3D-SICs under resource (test pins and TSVs) and power constraints. Depending upon the various scheduling restrictions, two test scheduling strategies have been proposed with an objective to minimize the overall test time (TT) of the stack. A step-by-step approach deals with the individual dies separately and develops power-restricted test schedules for each die and finally decides test concurrency between the dies satisfying the resources and power limits of the stack. Particle Swarm Optimization (PSO) based meta search technique has been used to select the resource allocation and power distribution to individual dies and also their internal test schedules. Incorporation of PSO in two stages of optimization produces a notable reduction in the overall test time of the SIC. Another integrated approach uses PSO to generate power-constrained test schedule of the entire SIC in a single optimization step. Integrated approach produces better results than the step-by-step approach because of its higher flexibility with lesser restrictions. User may select any of the scheduling strategies depending upon the scheduling criteria.","PeriodicalId":256879,"journal":{"name":"2015 IEEE 24th Asian Test Symposium (ATS)","volume":"38 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115946503","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Optimized Selection of Frequencies for Faster-Than-at-Speed Test 超速试验频率的优化选择
Pub Date : 2015-11-22 DOI: 10.1109/ATS.2015.26
M. Kampmann, M. Kochte, E. Schneider, T. Indlekofer, S. Hellebrand, H. Wunderlich
Small gate delay faults (SDFs) are not detectable at-speed, if they can only be propagated along short paths. These hidden delay faults (HDFs) do not influence the circuit's behavior initially, but they may indicate design marginalities leading to early-life failures, and therefore they cannot be neglected. HDFs can be detected by faster-than-at-speed test (FAST), where typically several different frequencies are used to maximize the coverage. A given set of test patterns P potentially detects a HDF if it contains a test pattern sensitizing a path through the fault site, and the efficiency of FAST can be measured as the ratio of actually detected HDFs to potentially detected HDFs. The paper at hand targets maximum test efficiency with a minimum number of frequencies. The procedure starts with a test set for transition delay faults and a set of preselected equidistant frequencies. Timing-accurate simulation of this initial setup identifies the hard-to-detect faults, which are then targeted by a more complex timing-aware ATPG procedure. For the yet undetected HDFs, a minimum number of frequencies are determined using an efficient hypergraph algorithm. Experimental results show that with this approach, the number of test frequencies required for maximum test efficiency can be reduced considerably. Furthermore, test set inflation is limited as timing-aware ATPG is only used for a small subset of HDFs.
如果小的门延迟故障(sdf)只能沿短路径传播,则在高速下无法检测到。这些隐藏延迟故障(HDFs)最初不会影响电路的行为,但它们可能表明导致早期寿命故障的设计边际,因此它们不能被忽视。HDFs可以通过快速测试(FAST)来检测,通常使用几个不同的频率来最大化覆盖范围。如果一组给定的测试模式P包含一个通过故障点的路径敏化的测试模式,那么它可能会检测到HDF,并且FAST的效率可以通过实际检测到的HDFs与潜在检测到的HDFs的比率来衡量。这篇论文的目标是用最少的频率达到最大的测试效率。该程序从过渡延迟故障的测试集和一组预选的等距频率开始。这种初始设置的定时精确模拟识别出难以检测的故障,然后通过更复杂的定时感知ATPG程序来定位这些故障。对于尚未检测到的HDFs,使用高效的超图算法确定最小频率数。实验结果表明,采用该方法可以大大减少测试效率最大化所需的测试频率。此外,由于时间感知ATPG仅用于HDFs的一小部分,因此测试集膨胀受到限制。
{"title":"Optimized Selection of Frequencies for Faster-Than-at-Speed Test","authors":"M. Kampmann, M. Kochte, E. Schneider, T. Indlekofer, S. Hellebrand, H. Wunderlich","doi":"10.1109/ATS.2015.26","DOIUrl":"https://doi.org/10.1109/ATS.2015.26","url":null,"abstract":"Small gate delay faults (SDFs) are not detectable at-speed, if they can only be propagated along short paths. These hidden delay faults (HDFs) do not influence the circuit's behavior initially, but they may indicate design marginalities leading to early-life failures, and therefore they cannot be neglected. HDFs can be detected by faster-than-at-speed test (FAST), where typically several different frequencies are used to maximize the coverage. A given set of test patterns P potentially detects a HDF if it contains a test pattern sensitizing a path through the fault site, and the efficiency of FAST can be measured as the ratio of actually detected HDFs to potentially detected HDFs. The paper at hand targets maximum test efficiency with a minimum number of frequencies. The procedure starts with a test set for transition delay faults and a set of preselected equidistant frequencies. Timing-accurate simulation of this initial setup identifies the hard-to-detect faults, which are then targeted by a more complex timing-aware ATPG procedure. For the yet undetected HDFs, a minimum number of frequencies are determined using an efficient hypergraph algorithm. Experimental results show that with this approach, the number of test frequencies required for maximum test efficiency can be reduced considerably. Furthermore, test set inflation is limited as timing-aware ATPG is only used for a small subset of HDFs.","PeriodicalId":256879,"journal":{"name":"2015 IEEE 24th Asian Test Symposium (ATS)","volume":"292 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116191142","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
Scan-Puf: Puf Elements Selection Methods for Viable IC Identification 扫描-Puf:可行IC识别的Puf元素选择方法
Pub Date : 2015-11-22 DOI: 10.1109/ATS.2015.28
Dooyoung Kim, M. A. Ansari, Jihun Jung, Sungju Park
The scan PUF, which is based-on the power-up states of scan flip-flops, had been proposed to overcome security issues of semiconductor ICs. IC identification, one of those security issues, requires decent uniqueness along with reliability and randomness. This paper presents two efficient PUF elements' selection methods for scan PUF: uniqueunanimous selection method and unique-majority selection method. These methods classify the scan cells according to their trend of power-up states and prioritize them to extract PUF elements. For experiments, enrollment and validation is performed on 15 chips, which are fabricated with 65nm CMOS process. A statistical analysis on experiments verifies the performance of proposed selection methods.
为了克服半导体集成电路的安全问题,提出了基于扫描触发器上电状态的扫描PUF。IC识别,这些安全问题之一,需要体面的唯一性以及可靠性和随机性。提出了扫描PUF的两种有效的PUF元素选择方法:唯一一致选择法和唯一多数选择法。这些方法根据扫描单元的上电趋势对其进行分类,并对其进行优先级排序,提取PUF元素。实验中,对15个采用65nm CMOS工艺制作的芯片进行了登记和验证。实验统计分析验证了所提选择方法的有效性。
{"title":"Scan-Puf: Puf Elements Selection Methods for Viable IC Identification","authors":"Dooyoung Kim, M. A. Ansari, Jihun Jung, Sungju Park","doi":"10.1109/ATS.2015.28","DOIUrl":"https://doi.org/10.1109/ATS.2015.28","url":null,"abstract":"The scan PUF, which is based-on the power-up states of scan flip-flops, had been proposed to overcome security issues of semiconductor ICs. IC identification, one of those security issues, requires decent uniqueness along with reliability and randomness. This paper presents two efficient PUF elements' selection methods for scan PUF: uniqueunanimous selection method and unique-majority selection method. These methods classify the scan cells according to their trend of power-up states and prioritize them to extract PUF elements. For experiments, enrollment and validation is performed on 15 chips, which are fabricated with 65nm CMOS process. A statistical analysis on experiments verifies the performance of proposed selection methods.","PeriodicalId":256879,"journal":{"name":"2015 IEEE 24th Asian Test Symposium (ATS)","volume":"6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116965263","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
TWiN: A Turn-Guided Reliable Routing Scheme for Wireless 3D NoCs TWiN:无线3D noc的转弯引导可靠路由方案
Pub Date : 2015-11-22 DOI: 10.1109/ATS.2015.22
Jun Zhou, Huawei Li, Tiancheng Wang, Sen Li, Ying Wang, Xiaowei Li
Network-on-chip (NoC) is a major communication technique for 3D integrated circuits (ICs). In order to achieve higher throughput and lower latency with less system cost, horizontal and vertical wireless links are adopted to apply in the 3D NoCs. So far, the reliable routing scheme has been regarded as a lightweight and high-efficiency mechanism to guarantee the performance of the faulty 2D/3D NoCs. In this paper, we propose a low-overhead turn-guided reliable routing scheme named TWiN for the vertical link faults in wireless 3D NoCs. TWiN is deadlock-free without any virtual channels (VCs). Experimental results show that TWiN possesses higher performance, improved reliability and lower overhead compared with the state-of-the-art reliable routing scheme for wireless 3D NoCs.
片上网络(NoC)是三维集成电路(ic)的主要通信技术。为了以更低的系统成本实现更高的吞吐量和更低的延迟,采用水平和垂直无线链路应用于3D noc。目前,可靠路由方案被认为是保证故障2D/3D noc性能的一种轻量级、高效率的机制。针对无线3D noc中垂直链路故障,提出了一种低开销的转弯引导可靠路由方案TWiN。TWiN没有死锁,没有任何虚拟通道(VCs)。实验结果表明,与目前最先进的无线3D noc可靠路由方案相比,TWiN具有更高的性能、更高的可靠性和更低的开销。
{"title":"TWiN: A Turn-Guided Reliable Routing Scheme for Wireless 3D NoCs","authors":"Jun Zhou, Huawei Li, Tiancheng Wang, Sen Li, Ying Wang, Xiaowei Li","doi":"10.1109/ATS.2015.22","DOIUrl":"https://doi.org/10.1109/ATS.2015.22","url":null,"abstract":"Network-on-chip (NoC) is a major communication technique for 3D integrated circuits (ICs). In order to achieve higher throughput and lower latency with less system cost, horizontal and vertical wireless links are adopted to apply in the 3D NoCs. So far, the reliable routing scheme has been regarded as a lightweight and high-efficiency mechanism to guarantee the performance of the faulty 2D/3D NoCs. In this paper, we propose a low-overhead turn-guided reliable routing scheme named TWiN for the vertical link faults in wireless 3D NoCs. TWiN is deadlock-free without any virtual channels (VCs). Experimental results show that TWiN possesses higher performance, improved reliability and lower overhead compared with the state-of-the-art reliable routing scheme for wireless 3D NoCs.","PeriodicalId":256879,"journal":{"name":"2015 IEEE 24th Asian Test Symposium (ATS)","volume":"129 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132900717","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A Methodology for Identifying High Timing Variability Paths in Complex Designs 复杂设计中高时变路径的识别方法
Pub Date : 2015-11-22 DOI: 10.1109/ATS.2015.27
Virendra Singh, A. Singh, K. Saluja
In some complex deep sub-micron designs, the variations in interconnect delay has a significant impact on the production yield of the product. In this paper, we develop a theoretical explanation for the unexpectedly higher process related timing variability shown by long interconnects that are driven by high drive strength gates. This gets even worse due to conventional gate delay variability and other random process effects. Our analysis is supported by actual silicon data and further validated by detailed Monte-Carlo (MC) simulations. Unfortunately, traditional scan based transition delay fault (TDF) timing tests can miss these variability induced delay faults on long interconnects which lies on the critical paths. We propose a methodology to identify high variability paths dominated by such long interconnects, with the aim of developing high quality delay timing tests. Specifically, we develop a heuristic based path selection algorithm to identify potentially slow paths that can contribute to test escapes in production. We further extend our approach to generate high quality delay timing tests for the target paths using the proposed "three pass" method.
在一些复杂的深亚微米设计中,互连延迟的变化对产品的成品率有重要影响。在本文中,我们对由高驱动强度门驱动的长互连所显示的出乎意料的高过程相关时序变异性进行了理论解释。由于传统的门延迟可变性和其他随机过程效应,这种情况变得更糟。我们的分析得到了实际硅数据的支持,并通过详细的蒙特卡罗(MC)模拟进一步验证。遗憾的是,传统的基于扫描的过渡延迟故障(TDF)时序测试无法在关键路径上的长互连上检测到这些由可变性引起的延迟故障。我们提出了一种方法来识别由这种长互连主导的高可变性路径,目的是开发高质量的延迟时序测试。具体来说,我们开发了一种基于启发式的路径选择算法,以识别可能导致生产中测试转义的潜在缓慢路径。我们进一步扩展了我们的方法,使用提议的“三遍”方法为目标路径生成高质量的延迟定时测试。
{"title":"A Methodology for Identifying High Timing Variability Paths in Complex Designs","authors":"Virendra Singh, A. Singh, K. Saluja","doi":"10.1109/ATS.2015.27","DOIUrl":"https://doi.org/10.1109/ATS.2015.27","url":null,"abstract":"In some complex deep sub-micron designs, the variations in interconnect delay has a significant impact on the production yield of the product. In this paper, we develop a theoretical explanation for the unexpectedly higher process related timing variability shown by long interconnects that are driven by high drive strength gates. This gets even worse due to conventional gate delay variability and other random process effects. Our analysis is supported by actual silicon data and further validated by detailed Monte-Carlo (MC) simulations. Unfortunately, traditional scan based transition delay fault (TDF) timing tests can miss these variability induced delay faults on long interconnects which lies on the critical paths. We propose a methodology to identify high variability paths dominated by such long interconnects, with the aim of developing high quality delay timing tests. Specifically, we develop a heuristic based path selection algorithm to identify potentially slow paths that can contribute to test escapes in production. We further extend our approach to generate high quality delay timing tests for the target paths using the proposed \"three pass\" method.","PeriodicalId":256879,"journal":{"name":"2015 IEEE 24th Asian Test Symposium (ATS)","volume":"17 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125723070","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
期刊
2015 IEEE 24th Asian Test Symposium (ATS)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1