首页 > 最新文献

2020 IEEE 38th VLSI Test Symposium (VTS)最新文献

英文 中文
VTS 2020 Breaker Page VTS 2020断路器页面
Pub Date : 2020-04-01 DOI: 10.1109/vts48691.2020.9107554
{"title":"VTS 2020 Breaker Page","authors":"","doi":"10.1109/vts48691.2020.9107554","DOIUrl":"https://doi.org/10.1109/vts48691.2020.9107554","url":null,"abstract":"","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":"57 2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129299046","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Special Session: The Recent Advance in Hardware Implementation of Post-Quantum Cryptography 专题会议:后量子密码学硬件实现的最新进展
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107585
Jiafeng Xie, K. Basu, K. Gaj, Ujjwal Guin
The recent advancement in quantum technology has initiated a new round of cryptosystem innovation, i.e., the emergence of Post-Quantum Cryptography (PQC). This new class of cryptographic schemes is intended to be mathematically resistant against any known attacks using quantum computers, but, at the same time, be fully implementable using traditional semiconductor technology. The National Institutes of Standards and Technology (NIST) has already started the PQC standardization process, and the initial pool of 69 submissions has been reduced to 26 Round 2 candidates. Echoing the pace of the PQC "revolution," this paper gives a detailed and thorough introduction to recent advances in the hardware implementation of PQC schemes, including challenges, new implementation methods, and novel hardware architectures. Specifically, we have: (i) described the challenges and rewards of implementing PQC in hardware; (ii) presented the novel methodology for the design-space exploration of PQC implementations using high-level synthesis (HLS); (iii) introduced a new underexplored PQC scheme (binary Ring-Learning-with-Errors), as well as its novel hardware implementation for possible lightweight applications. The overall content delivered by this paper could serve multiple purposes: (i) provide useful references for the potential learners and the interested public; (ii) introduce new areas and directions for potential research to the VTS community; (iii) facilitate the PQC standardization process and the exploration of related new ways of implementing cryptography in existing and emerging applications.
近年来,量子技术的进步引发了新一轮的密码系统创新,即后量子密码学(PQC)的出现。这种新型加密方案旨在在数学上抵抗使用量子计算机的任何已知攻击,但与此同时,可以使用传统的半导体技术完全实现。美国国家标准与技术研究院(NIST)已经开始了PQC标准化过程,最初的69份提交已经减少到26个第二轮候选人。为了响应PQC“革命”的步伐,本文详细而全面地介绍了PQC方案的硬件实现的最新进展,包括挑战、新的实现方法和新的硬件架构。具体来说,我们已经:(i)描述了在硬件中实现PQC的挑战和回报;(ii)提出了利用高级综合(HLS)探索PQC实现的设计空间的新方法;(iii)引入了一种新的未被充分开发的PQC方案(带误差的二进制环学习),以及它为可能的轻量级应用程序提供的新颖硬件实现。本文提供的整体内容可以达到多种目的:(i)为潜在的学习者和感兴趣的公众提供有用的参考;(ii)向VTS业界介绍可能进行研究的新领域和方向;(iii)促进PQC标准化进程,并探索在现有和新兴应用中实现加密的相关新方法。
{"title":"Special Session: The Recent Advance in Hardware Implementation of Post-Quantum Cryptography","authors":"Jiafeng Xie, K. Basu, K. Gaj, Ujjwal Guin","doi":"10.1109/VTS48691.2020.9107585","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107585","url":null,"abstract":"The recent advancement in quantum technology has initiated a new round of cryptosystem innovation, i.e., the emergence of Post-Quantum Cryptography (PQC). This new class of cryptographic schemes is intended to be mathematically resistant against any known attacks using quantum computers, but, at the same time, be fully implementable using traditional semiconductor technology. The National Institutes of Standards and Technology (NIST) has already started the PQC standardization process, and the initial pool of 69 submissions has been reduced to 26 Round 2 candidates. Echoing the pace of the PQC \"revolution,\" this paper gives a detailed and thorough introduction to recent advances in the hardware implementation of PQC schemes, including challenges, new implementation methods, and novel hardware architectures. Specifically, we have: (i) described the challenges and rewards of implementing PQC in hardware; (ii) presented the novel methodology for the design-space exploration of PQC implementations using high-level synthesis (HLS); (iii) introduced a new underexplored PQC scheme (binary Ring-Learning-with-Errors), as well as its novel hardware implementation for possible lightweight applications. The overall content delivered by this paper could serve multiple purposes: (i) provide useful references for the potential learners and the interested public; (ii) introduce new areas and directions for potential research to the VTS community; (iii) facilitate the PQC standardization process and the exploration of related new ways of implementing cryptography in existing and emerging applications.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":"24 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130293731","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
Mitigating Read Failures in STT-MRAM 减少STT-MRAM读失败
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107605
S. Nair, R. Bishnoi, M. Tahoori
Spin Transfer Torque Magnetic Random Access Memory (STT-MRAM) is an emerging non-volatile memory technology, as a leading candidate to replace conventional on-chip memories due to its various advantages such as high density, non-volatility, scalability, high endurance and CMOS compatibility. However, read and write operations in STT-MRAM are extremely vulnerable to manufacturing variations. In particular, the read operation is becoming more susceptible to failures since the read timing and read-disturb failures have conflicting requirements of read period. To overcome this issue, we propose a technique to reduce the read period without sacrificing the target reliability requirements. The reduced read period, in turn, results in improved read performance and reduced read-disturb rates. The results show that using this technique, the read period can be reduced by 50%, and the read-disturb probability by 51%.
自旋转移扭矩磁随机存取存储器(STT-MRAM)是一种新兴的非易失性存储器技术,由于其高密度、非易失性、可扩展性、高耐用性和CMOS兼容性等优点,成为取代传统片上存储器的首选技术。然而,STT-MRAM中的读写操作极易受到制造变化的影响。特别是,由于读时序和读干扰对读周期的要求相互冲突,使得读操作更容易发生故障。为了克服这个问题,我们提出了一种在不牺牲目标可靠性要求的情况下减少读取周期的技术。减少了读周期,从而提高了读性能,降低了读干扰率。结果表明,采用该技术可将读取周期缩短50%,读取干扰概率降低51%。
{"title":"Mitigating Read Failures in STT-MRAM","authors":"S. Nair, R. Bishnoi, M. Tahoori","doi":"10.1109/VTS48691.2020.9107605","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107605","url":null,"abstract":"Spin Transfer Torque Magnetic Random Access Memory (STT-MRAM) is an emerging non-volatile memory technology, as a leading candidate to replace conventional on-chip memories due to its various advantages such as high density, non-volatility, scalability, high endurance and CMOS compatibility. However, read and write operations in STT-MRAM are extremely vulnerable to manufacturing variations. In particular, the read operation is becoming more susceptible to failures since the read timing and read-disturb failures have conflicting requirements of read period. To overcome this issue, we propose a technique to reduce the read period without sacrificing the target reliability requirements. The reduced read period, in turn, results in improved read performance and reduced read-disturb rates. The results show that using this technique, the read period can be reduced by 50%, and the read-disturb probability by 51%.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":"167 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124656711","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
VTS 2020 Organizing Committee VTS 2020组委会
Pub Date : 2020-04-01 DOI: 10.1109/vts48691.2020.9107553
{"title":"VTS 2020 Organizing Committee","authors":"","doi":"10.1109/vts48691.2020.9107553","DOIUrl":"https://doi.org/10.1109/vts48691.2020.9107553","url":null,"abstract":"","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":"61 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121556499","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Innovative Test Practices in Asia 亚洲的创新测试实践
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107640
Takeshi Iwasaki, Masao Aso, Haruji Futami, Satoshi Matsunaga, Yousuke Miyake, Takaaki Kato, S. Kajihara, Y. Miura, Smith Lai, Gavin Hung, Harry H. Chen, Haruo Kobayashi, K. Hatayama
The IP session highlights three innovative test practices in Asia, which include a testing solution for the millimeterwave (76- to 81- GHz) without expensive instruments, an on-chip delay measurement method for in-field test and a power control method of at-speed scan test for IR violation reduction. These would be useful for automotive and IoT application device testing.
IP会议重点介绍了亚洲的三种创新测试实践,包括一种无需昂贵仪器的毫米波(76- 81 GHz)测试解决方案、一种用于现场测试的片上延迟测量方法和一种用于减少红外违规的高速扫描测试的功率控制方法。这些将对汽车和物联网应用设备测试非常有用。
{"title":"Innovative Test Practices in Asia","authors":"Takeshi Iwasaki, Masao Aso, Haruji Futami, Satoshi Matsunaga, Yousuke Miyake, Takaaki Kato, S. Kajihara, Y. Miura, Smith Lai, Gavin Hung, Harry H. Chen, Haruo Kobayashi, K. Hatayama","doi":"10.1109/VTS48691.2020.9107640","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107640","url":null,"abstract":"The IP session highlights three innovative test practices in Asia, which include a testing solution for the millimeterwave (76- to 81- GHz) without expensive instruments, an on-chip delay measurement method for in-field test and a power control method of at-speed scan test for IR violation reduction. These would be useful for automotive and IoT application device testing.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":"14 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121954504","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Special Session – Emerging Memristor Based Memory and CIM Architecture: Test, Repair and Yield Analysis 特别会议-新兴的基于忆阻器的存储器和CIM架构:测试,维修和良率分析
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107595
R. Bishnoi, Lizhou Wu, M. Fieback, Christopher Münch, S. Nair, M. Tahoori, Ying Wang, Huawei Li, S. Hamdioui
Emerging memristor-based architectures are promising for data-intensive applications as these can enhance the computation efficiency, solve the data transfer bottleneck and at the same time deliver high energy efficiency using their normally-off/instant-on attributes. However, their storing devices are more susceptible to manufacturing defects compared to the traditional memory technologies because they are fabricated with new materials and require different manufacturing processes. Hence, in order to ensure correct functionalities for these technologies, it is necessary to have accurate fault modeling as well as proper test methodologies with high test coverage. In this paper, we propose technology specific cell-level defect modeling, accurate fault analysis and yield improvement solutions for memristor-based memory as well as Computation-In-Memory (CIM) architectures. Our overall contributions cover three abstraction levels, namely, device, architecture and system. First, we propose a device-aware test methodology in which we have introduced a key device-level characteristic to develop accurate defect model. Second, we demonstrate a yield analysis framework for memristor arrays considering reliability and permanent faults due to parametric variations and explore fault-tolerant solutions. Third, a lightweight on-line test and repair schemes is proposed for emerging CIM devices in machine learning applications.
新兴的基于忆阻器的架构对于数据密集型应用很有希望,因为它们可以提高计算效率,解决数据传输瓶颈,同时利用其正常关闭/立即打开的属性提供高能效。然而,与传统存储技术相比,它们的存储设备更容易受到制造缺陷的影响,因为它们是用新材料制造的,需要不同的制造工艺。因此,为了确保这些技术的正确功能,有必要拥有准确的故障建模以及具有高测试覆盖率的适当测试方法。在本文中,我们提出了技术特定的细胞级缺陷建模,准确的故障分析和良率改进的解决方案,用于基于忆阻器的存储器和内存计算(CIM)架构。我们的总体贡献涵盖了三个抽象层次,即设备、体系结构和系统。首先,我们提出了一种设备感知测试方法,在该方法中,我们引入了一个关键的设备级特征来开发准确的缺陷模型。其次,我们展示了一个考虑可靠性和由于参数变化导致的永久故障的记忆电阻阵列的良率分析框架,并探索了容错解决方案。第三,针对机器学习应用中新兴的CIM设备,提出了一种轻量级的在线测试和维修方案。
{"title":"Special Session – Emerging Memristor Based Memory and CIM Architecture: Test, Repair and Yield Analysis","authors":"R. Bishnoi, Lizhou Wu, M. Fieback, Christopher Münch, S. Nair, M. Tahoori, Ying Wang, Huawei Li, S. Hamdioui","doi":"10.1109/VTS48691.2020.9107595","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107595","url":null,"abstract":"Emerging memristor-based architectures are promising for data-intensive applications as these can enhance the computation efficiency, solve the data transfer bottleneck and at the same time deliver high energy efficiency using their normally-off/instant-on attributes. However, their storing devices are more susceptible to manufacturing defects compared to the traditional memory technologies because they are fabricated with new materials and require different manufacturing processes. Hence, in order to ensure correct functionalities for these technologies, it is necessary to have accurate fault modeling as well as proper test methodologies with high test coverage. In this paper, we propose technology specific cell-level defect modeling, accurate fault analysis and yield improvement solutions for memristor-based memory as well as Computation-In-Memory (CIM) architectures. Our overall contributions cover three abstraction levels, namely, device, architecture and system. First, we propose a device-aware test methodology in which we have introduced a key device-level characteristic to develop accurate defect model. Second, we demonstrate a yield analysis framework for memristor arrays considering reliability and permanent faults due to parametric variations and explore fault-tolerant solutions. Third, a lightweight on-line test and repair schemes is proposed for emerging CIM devices in machine learning applications.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":"114 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116461900","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Special Session: Novel Attacks on Logic-Locking 特别会议:对逻辑锁定的新攻击
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107641
Ayush Jain, Ujjwal Guin, N. Asadizanjani, Danielle Duvalsaint
The outsourcing of the design and manufacturing of integrated circuits (IC) involves various untrusted entities, which can pose many security threats such as overproduction of ICs, sale of out-of-specification/rejected ICs, and piracy of Intellectual Properties (IPs). As a result, various design-for-trust techniques have been developed. Logic locking has recently gained significant interest from the research community due to its capability to provide defense against the threats from untrusted manufacturing. In logic locking, the original circuit is locked using a secret key to make it into a key-dependent circuit. However, various attacks on the extraction of secret keys associated with locking have undermined the security of logic locking techniques. Even after a decade of research, the security of logic locking is still under risk as none of the countermeasures can simultaneously provide resiliency against different attacks, such as tampering, probing, and oracle or oracle-less attacks. This paper presents an overview of novel attacks on logic locking apart from SAT-based analysis. We will present three different techniques to break a secure lock, and they are hardware Trojan based attacks, optical probing based attacks, and the ATPG oriented attacks.
集成电路(IC)的设计和制造外包涉及各种不受信任的实体,这可能造成许多安全威胁,例如IC的生产过剩,销售不合规格/不合格的IC,以及知识产权(ip)的盗版。因此,开发了各种基于信任的设计技术。逻辑锁定最近引起了研究界的极大兴趣,因为它能够提供防御来自不可信制造的威胁的能力。在逻辑锁定中,使用密钥将原始电路锁定,使其成为依赖于密钥的电路。然而,对与锁定相关的密钥提取的各种攻击破坏了逻辑锁定技术的安全性。即使经过十年的研究,逻辑锁定的安全性仍然处于风险之中,因为没有任何对策可以同时提供针对不同攻击的弹性,例如篡改、探测和oracle或无oracle攻击。本文概述了除了基于sat的分析之外,对逻辑锁定的新攻击。我们将介绍三种不同的破解安全锁的技术,它们是基于硬件木马的攻击,基于光学探测的攻击和面向ATPG的攻击。
{"title":"Special Session: Novel Attacks on Logic-Locking","authors":"Ayush Jain, Ujjwal Guin, N. Asadizanjani, Danielle Duvalsaint","doi":"10.1109/VTS48691.2020.9107641","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107641","url":null,"abstract":"The outsourcing of the design and manufacturing of integrated circuits (IC) involves various untrusted entities, which can pose many security threats such as overproduction of ICs, sale of out-of-specification/rejected ICs, and piracy of Intellectual Properties (IPs). As a result, various design-for-trust techniques have been developed. Logic locking has recently gained significant interest from the research community due to its capability to provide defense against the threats from untrusted manufacturing. In logic locking, the original circuit is locked using a secret key to make it into a key-dependent circuit. However, various attacks on the extraction of secret keys associated with locking have undermined the security of logic locking techniques. Even after a decade of research, the security of logic locking is still under risk as none of the countermeasures can simultaneously provide resiliency against different attacks, such as tampering, probing, and oracle or oracle-less attacks. This paper presents an overview of novel attacks on logic locking apart from SAT-based analysis. We will present three different techniques to break a secure lock, and they are hardware Trojan based attacks, optical probing based attacks, and the ATPG oriented attacks.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":"63 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132998674","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Aging-resilient SRAM design: an end-to-end framework 老化弹性SRAM设计:端到端框架
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107571
X. Zuo, S. Gupta
The performance of transistors degrades due to aging. Bias temperature instability (BTI) is the most prominent aging mechanism in nano-scale CMOS technologies. Aging degradation causes lifetime failures and lowers the quality of shipped chips. We have developed an end-to-end SRAM design framework to maximize the aging resilience under the given constraints. Specifically, we analyze the impact of aging in SRAM peripheral circuits, including address decoder, precharge, write circuit and sense amplifiers (SAs). We explore the efficiency of error-correcting codes (ECC) to combat aging by quantifying the area and delay overheads of ECC and estimating the lifetime yield and DPPM of SRAMs with ECC, respectively. We also calculate the soft error resilience when ECC is used to repair aging failures. After comparing approaches based on cell sizing and ECC in terms of overheads, lifetime yield and DPPM, we can choose either one or a combination of these approaches to identify the optimal design against aging under the given constraints. We integrate our methods into an existing SRAM compiler, CACTI [1], to provide the end-to-end capability to designers.
晶体管的性能因老化而下降。偏置温度不稳定性(BTI)是纳米级CMOS技术中最突出的老化机制。老化退化导致寿命失效,降低出货芯片的质量。我们开发了一个端到端SRAM设计框架,以最大限度地提高给定约束下的老化弹性。具体来说,我们分析了老化对SRAM外围电路的影响,包括地址解码器、预充电、写电路和感测放大器(SAs)。我们通过量化纠错码(ECC)的面积和延迟开销,以及估计带有纠错码的sram的寿命产率和DPPM来探讨纠错码(ECC)对抗老化的效率。我们还计算了采用ECC修复老化故障时的软错误恢复能力。在开销、寿命产率和DPPM方面比较基于单元大小和ECC的方法后,我们可以选择其中一种或这些方法的组合来确定在给定约束下抗老化的最佳设计。我们将我们的方法集成到现有的SRAM编译器CACTI[1]中,为设计人员提供端到端的能力。
{"title":"Aging-resilient SRAM design: an end-to-end framework","authors":"X. Zuo, S. Gupta","doi":"10.1109/VTS48691.2020.9107571","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107571","url":null,"abstract":"The performance of transistors degrades due to aging. Bias temperature instability (BTI) is the most prominent aging mechanism in nano-scale CMOS technologies. Aging degradation causes lifetime failures and lowers the quality of shipped chips. We have developed an end-to-end SRAM design framework to maximize the aging resilience under the given constraints. Specifically, we analyze the impact of aging in SRAM peripheral circuits, including address decoder, precharge, write circuit and sense amplifiers (SAs). We explore the efficiency of error-correcting codes (ECC) to combat aging by quantifying the area and delay overheads of ECC and estimating the lifetime yield and DPPM of SRAMs with ECC, respectively. We also calculate the soft error resilience when ECC is used to repair aging failures. After comparing approaches based on cell sizing and ECC in terms of overheads, lifetime yield and DPPM, we can choose either one or a combination of these approaches to identify the optimal design against aging under the given constraints. We integrate our methods into an existing SRAM compiler, CACTI [1], to provide the end-to-end capability to designers.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":"112 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116149020","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Special Session: Survey of Test Point Insertion for Logic Built-in Self-test 专题会议:逻辑内置自检测试点插入综述
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107584
Yang Sun, S. Millican, V. Agrawal
This article surveys test point (TP) architectures and test point insertion (TPI) methods for increasing pseudo-random and logic built-in self-test (LBIST) fault coverage. We present a history of TPI approaches, including TPI for increasing stuck-at fault coverage, compressing test patterns, detecting path delay faults, and reducing test power. We discuss some known weaknesses of TPs and explore research directions to overcome them.
本文研究了测试点(TP)体系结构和测试点插入(TPI)方法,以增加伪随机和逻辑内置自检(LBIST)故障覆盖率。我们介绍了TPI方法的历史,包括TPI用于增加卡在故障覆盖,压缩测试模式,检测路径延迟故障和降低测试功率。我们讨论了一些已知的TPs的弱点,并探讨了克服这些弱点的研究方向。
{"title":"Special Session: Survey of Test Point Insertion for Logic Built-in Self-test","authors":"Yang Sun, S. Millican, V. Agrawal","doi":"10.1109/VTS48691.2020.9107584","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107584","url":null,"abstract":"This article surveys test point (TP) architectures and test point insertion (TPI) methods for increasing pseudo-random and logic built-in self-test (LBIST) fault coverage. We present a history of TPI approaches, including TPI for increasing stuck-at fault coverage, compressing test patterns, detecting path delay faults, and reducing test power. We discuss some known weaknesses of TPs and explore research directions to overcome them.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":"101 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116980468","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Effective Design of Layout-Friendly EDT Decompressor 布局友好型EDT减压器的有效设计
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107623
Yu Huang, J. Rajski, M. Kassab, N. Mukherjee, J. Mayer
This paper proposes an innovative design methodology for layout-friendly decompressor used in EDT compression architecture. A segmented decompressor architecture is proposed, in which each segment drives a subset of scan chains. The EDT input channel injectors are carefully selected to maximize the encoding capacity for all scan chains. Experimental results with several large industrial designs demonstrate that using the proposed technology, the routing congestion introduced by EDT decompressor is reduced significantly with negligible impact on test coverage and improved pattern count.
本文提出了一种用于EDT压缩体系结构的布局友好型减压器的创新设计方法。提出了一种分段解压缩架构,其中每个分段驱动一个扫描链子集。EDT输入通道注入器经过精心选择,以最大限度地提高所有扫描链的编码能力。几个大型工业设计的实验结果表明,使用该技术,EDT减压器引入的路由拥塞显著减少,对测试覆盖率和模式计数的影响可以忽略不计。
{"title":"Effective Design of Layout-Friendly EDT Decompressor","authors":"Yu Huang, J. Rajski, M. Kassab, N. Mukherjee, J. Mayer","doi":"10.1109/VTS48691.2020.9107623","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107623","url":null,"abstract":"This paper proposes an innovative design methodology for layout-friendly decompressor used in EDT compression architecture. A segmented decompressor architecture is proposed, in which each segment drives a subset of scan chains. The EDT input channel injectors are carefully selected to maximize the encoding capacity for all scan chains. Experimental results with several large industrial designs demonstrate that using the proposed technology, the routing congestion introduced by EDT decompressor is reduced significantly with negligible impact on test coverage and improved pattern count.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":"17 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125549607","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
2020 IEEE 38th VLSI Test Symposium (VTS)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1