首页 > 最新文献

2020 IEEE 38th VLSI Test Symposium (VTS)最新文献

英文 中文
Innovative Practice on Wafer Test Innovations 晶圆测试创新实践
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107619
D. Hu, H. Hashimoto, Li-Fong Tseng, Ken Chau-Cheung Cheng, Katherine Shu-Min Li, Sying-Jyan Wang, Sean Y.-S. Chen, Jwu E. Chen, Clark Liu, Andrew Yi-Ann Huang
Wafer test integrates innovative works from upstream, automatic test equipment (ATE); middle stream, 2.3D/2.5D; and downstream, statistical analysis of randomness on wafer pattern recognition. NXP Taiwan proposes an AI-driven yield prediction of ATE to reduce test cost during frequent modification and changes in test systems. SiPlus proposes competitive 2.3D and SiPlus eHDF to compare many metrics with 2.5D interposer technology. Powertech Technology Inc. focuses the statistical analysis of randomness on conventional spatial wafer defect patterns. This session addresses an integrated innovation along test systems in ATE in upstream, then 2.3D/SiPlus eHDF integration structure design, finally novel randomness effects on wafer defect diagnosis.
晶圆测试集成了来自上游的创新工作,自动测试设备(ATE);中游:2.3D/2.5D;下游,对晶圆模式识别的随机性进行统计分析。恩智浦台湾提出人工智能驱动的ATE良率预测,以减少测试系统频繁修改和变更时的测试成本。SiPlus提出了具有竞争力的2.3 3d和SiPlus eHDF,以比较许多指标与2.5D中间体技术。Powertech Technology Inc.专注于传统空间晶圆缺陷模式的随机性统计分析。本次会议讨论了上游测试系统的集成创新,然后是2.3D/SiPlus eHDF集成结构设计,最后是晶圆缺陷诊断的新随机效应。
{"title":"Innovative Practice on Wafer Test Innovations","authors":"D. Hu, H. Hashimoto, Li-Fong Tseng, Ken Chau-Cheung Cheng, Katherine Shu-Min Li, Sying-Jyan Wang, Sean Y.-S. Chen, Jwu E. Chen, Clark Liu, Andrew Yi-Ann Huang","doi":"10.1109/VTS48691.2020.9107619","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107619","url":null,"abstract":"Wafer test integrates innovative works from upstream, automatic test equipment (ATE); middle stream, 2.3D/2.5D; and downstream, statistical analysis of randomness on wafer pattern recognition. NXP Taiwan proposes an AI-driven yield prediction of ATE to reduce test cost during frequent modification and changes in test systems. SiPlus proposes competitive 2.3D and SiPlus eHDF to compare many metrics with 2.5D interposer technology. Powertech Technology Inc. focuses the statistical analysis of randomness on conventional spatial wafer defect patterns. This session addresses an integrated innovation along test systems in ATE in upstream, then 2.3D/SiPlus eHDF integration structure design, finally novel randomness effects on wafer defect diagnosis.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115515709","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
SNIFU: Secure Network Interception for Firmware Updates in legacy PLCs SNIFU:旧plc固件更新的安全网络拦截
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107609
Hadjer Benkraouda, Muhammad Ashif Chakkantakath, A. Keliris, M. Maniatakos
Attacks on Industrial Control Systems (ICS) are increasingly targeting field devices and the firmware that instruments their operation. Securing the firmware images and their update procedure has, therefore, become an important challenge. This is especially true for widely deployed legacy devices which are not equipped with the necessary security mechanisms/capabilities. In this paper, we address the problem by reverse engineering PLC firmware update tools to build a device that ensures the integrity and authenticity of firmware updates, before allowing them to be flashed onto a field device. Our tool is directly connected to field devices and consists of a firmware signing mechanism, a PLC emulation module, and a payload detection classifier – all integrated in a bump-in-the-wire device, SNIFU. SNIFU monitors serial traffic sent to the PLC for firmware update commands. When it identifies such commands, it emulates a PLC, capturing the entire firmware image and verifying it before relaying it to the PLC. We implement and evaluate a prototype of SNIFU using a Raspberry Pi, that secures the update process of a commercial PLC by Wago.
针对工业控制系统(ICS)的攻击越来越多地针对现场设备及其运行的固件。因此,保护固件映像及其更新过程已成为一项重要挑战。对于广泛部署的遗留设备来说尤其如此,这些设备没有配备必要的安全机制/功能。在本文中,我们通过逆向工程PLC固件更新工具来解决这个问题,以构建一个确保固件更新的完整性和真实性的设备,然后允许它们被闪现到现场设备上。我们的工具直接连接到现场设备,由固件签名机制、PLC仿真模块和有效载荷检测分类器组成——所有这些都集成在一个线中碰撞设备SNIFU中。SNIFU监控发送给PLC的串行流量,以获取固件更新命令。当它识别这些命令时,它模拟PLC,捕获整个固件映像并在将其转发给PLC之前对其进行验证。我们使用树莓派实现和评估SNIFU的原型,这确保了Wago商用PLC的更新过程。
{"title":"SNIFU: Secure Network Interception for Firmware Updates in legacy PLCs","authors":"Hadjer Benkraouda, Muhammad Ashif Chakkantakath, A. Keliris, M. Maniatakos","doi":"10.1109/VTS48691.2020.9107609","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107609","url":null,"abstract":"Attacks on Industrial Control Systems (ICS) are increasingly targeting field devices and the firmware that instruments their operation. Securing the firmware images and their update procedure has, therefore, become an important challenge. This is especially true for widely deployed legacy devices which are not equipped with the necessary security mechanisms/capabilities. In this paper, we address the problem by reverse engineering PLC firmware update tools to build a device that ensures the integrity and authenticity of firmware updates, before allowing them to be flashed onto a field device. Our tool is directly connected to field devices and consists of a firmware signing mechanism, a PLC emulation module, and a payload detection classifier – all integrated in a bump-in-the-wire device, SNIFU. SNIFU monitors serial traffic sent to the PLC for firmware update commands. When it identifies such commands, it emulates a PLC, capturing the entire firmware image and verifying it before relaying it to the PLC. We implement and evaluate a prototype of SNIFU using a Raspberry Pi, that secures the update process of a commercial PLC by Wago.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114489716","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Automated Design For Yield Through Defect Tolerance 通过缺陷容限实现成品率的自动化设计
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107558
S. Natarajan, Andres F. Malavasi, P. Meinerzhagen
We advocate defect tolerant design to improve timing yield. A metric of defect tolerance is proposed, and an approach based on using defect tolerance metrics, derived for each cell in a library, to bias logic synthesis and automated placement and routing (APR) to achieve netlist-level defect tolerance is explored. We compare our proposed approach, in which the delays of cells are penalized in accordance with their defect vulnerability to two alternative approaches: 1) an approach in which the most defect vulnerable cells are removed from consideration during automated design, and 2) another that gains yield by frequency-push over-design. We measure timing yield based on modeling defects as cell delay increments and using static timing analysis to evaluate the various approaches. Simulation results show promising timing yield improvements, with one case showing about 9.5% timing yield increase with under 3% area and 2% power costs.
我们提倡容错设计,以提高定时良率。提出了一种缺陷容忍度度量,并探索了一种基于使用库中每个单元派生的缺陷容忍度度量来偏差逻辑合成和自动放置和路由(APR)以实现网络列表级缺陷容忍度的方法。我们比较了我们提出的方法,其中细胞的延迟是根据它们的缺陷脆弱性来惩罚的两种替代方法:1)在自动化设计期间从考虑中移除最缺陷脆弱细胞的方法,以及2)另一种通过频率推动过度设计获得产量的方法。我们基于单元延迟增量的建模缺陷来测量时序收益,并使用静态时序分析来评估各种方法。仿真结果显示时序良率有很大的提高,其中一个案例显示时序良率提高了9.5%,而面积低于3%,功耗低于2%。
{"title":"Automated Design For Yield Through Defect Tolerance","authors":"S. Natarajan, Andres F. Malavasi, P. Meinerzhagen","doi":"10.1109/VTS48691.2020.9107558","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107558","url":null,"abstract":"We advocate defect tolerant design to improve timing yield. A metric of defect tolerance is proposed, and an approach based on using defect tolerance metrics, derived for each cell in a library, to bias logic synthesis and automated placement and routing (APR) to achieve netlist-level defect tolerance is explored. We compare our proposed approach, in which the delays of cells are penalized in accordance with their defect vulnerability to two alternative approaches: 1) an approach in which the most defect vulnerable cells are removed from consideration during automated design, and 2) another that gains yield by frequency-push over-design. We measure timing yield based on modeling defects as cell delay increments and using static timing analysis to evaluate the various approaches. Simulation results show promising timing yield improvements, with one case showing about 9.5% timing yield increase with under 3% area and 2% power costs.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127101821","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Input Test Data Volume Reduction Using Seed Complementation and Multiple LFSRs 使用种子互补和多个lfsr减少输入测试数据量
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107617
I. Pomeranz
Test data compression methods reduce the input storage requirements of a test set by storing compressed tests. To enhance the ability to reduce the input test data volume, earlier approaches use the same input test data to apply several different tests. This paper considers two methods that have not been used before for this purpose. The methods are considered in the context where a linear-feedback shift-register (LFSR) is used as part of the decompression logic, and tests are compressed into seeds for the LFSR. The first method complements a bit of a seed to obtain a different test than the one produced by the uncomplemented seed. The second method uses the same seed for different LFSRs to produce different tests. The two methods are used together to demonstrate the advantages of a hybrid approach where the methods complement each other. Experimental results for benchmark circuits are presented to demonstrate the effectiveness of a hybrid approach.
测试数据压缩方法通过存储压缩测试来减少测试集的输入存储需求。为了增强减少输入测试数据量的能力,早期的方法使用相同的输入测试数据来应用几个不同的测试。本文考虑了两种以前未用于此目的的方法。这些方法是在使用线性反馈移位寄存器(LFSR)作为解压缩逻辑的一部分的上下文中考虑的,并且测试被压缩为LFSR的种子。第一种方法对种子进行少量补充,以获得与未补充种子产生的测试不同的测试。第二种方法对不同的lfsr使用相同的种子来生成不同的测试。这两种方法一起使用,以展示混合方法的优点,其中方法相互补充。给出了基准电路的实验结果,证明了混合方法的有效性。
{"title":"Input Test Data Volume Reduction Using Seed Complementation and Multiple LFSRs","authors":"I. Pomeranz","doi":"10.1109/VTS48691.2020.9107617","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107617","url":null,"abstract":"Test data compression methods reduce the input storage requirements of a test set by storing compressed tests. To enhance the ability to reduce the input test data volume, earlier approaches use the same input test data to apply several different tests. This paper considers two methods that have not been used before for this purpose. The methods are considered in the context where a linear-feedback shift-register (LFSR) is used as part of the decompression logic, and tests are compressed into seeds for the LFSR. The first method complements a bit of a seed to obtain a different test than the one produced by the uncomplemented seed. The second method uses the same seed for different LFSRs to produce different tests. The two methods are used together to demonstrate the advantages of a hybrid approach where the methods complement each other. Experimental results for benchmark circuits are presented to demonstrate the effectiveness of a hybrid approach.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124841353","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
VTS 2020 Blank Page VTS 2020空白页
Pub Date : 2020-04-01 DOI: 10.1109/vts48691.2020.9107639
{"title":"VTS 2020 Blank Page","authors":"","doi":"10.1109/vts48691.2020.9107639","DOIUrl":"https://doi.org/10.1109/vts48691.2020.9107639","url":null,"abstract":"","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134179729","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
In-field Functional Test of CAN Bus Controllers CAN总线控制器的现场功能测试
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107628
R. Cantoro, Sandro Sartoni, M. Reorda
The Controller Area Network (CAN) bus is a serial bus protocol widely used in the automotive domain to allow communication between different Electronic Control Units in the car. Being often part of safety-critical systems, the hardware implementing the CAN network must be constantly tested along the system lifetime, even during the operational phase. CAN controllers are relatively complex modules in charge of managing the sending and the receiving of packages through the CAN bus and defects affecting them can easily compromise the whole CAN network. In this work, the CAN controller is tested by test programs to be executed by the CPU connected to the device under test and by another unit connected to the same CAN bus. A fault grading with respect to structural permanent faults of a functional test based on the execution of a software test library for the CAN bus is presented for the first time. Results show how the approach can cover more than 90% of stuck-at faults on an open-source implementation of the standard, which is significantly more than what a usual functional test based on some sample application can achieve.
控制器局域网(CAN)总线是一种广泛应用于汽车领域的串行总线协议,用于实现车内不同电子控制单元之间的通信。作为安全关键系统的一部分,实现CAN网络的硬件必须在整个系统生命周期中不断进行测试,即使在运行阶段也是如此。CAN控制器是一种相对复杂的模块,主要负责通过CAN总线管理数据包的发送和接收,影响它的缺陷很容易危及整个CAN网络。在这项工作中,CAN控制器通过测试程序进行测试,测试程序由连接到被测设备的CPU和连接到同一CAN总线的另一个单元执行。首次提出了基于CAN总线软件测试库执行的功能测试中结构性永久性故障的故障分级方法。结果表明,该方法可以覆盖90%以上的标准的开源实现上的卡住错误,这远远超过了基于某些示例应用程序的常规功能测试所能达到的效果。
{"title":"In-field Functional Test of CAN Bus Controllers","authors":"R. Cantoro, Sandro Sartoni, M. Reorda","doi":"10.1109/VTS48691.2020.9107628","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107628","url":null,"abstract":"The Controller Area Network (CAN) bus is a serial bus protocol widely used in the automotive domain to allow communication between different Electronic Control Units in the car. Being often part of safety-critical systems, the hardware implementing the CAN network must be constantly tested along the system lifetime, even during the operational phase. CAN controllers are relatively complex modules in charge of managing the sending and the receiving of packages through the CAN bus and defects affecting them can easily compromise the whole CAN network. In this work, the CAN controller is tested by test programs to be executed by the CPU connected to the device under test and by another unit connected to the same CAN bus. A fault grading with respect to structural permanent faults of a functional test based on the execution of a software test library for the CAN bus is presented for the first time. Results show how the approach can cover more than 90% of stuck-at faults on an open-source implementation of the standard, which is significantly more than what a usual functional test based on some sample application can achieve.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125741533","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
A Zero-Cost Detection Approach for Recycled ICs using Scan Architecture 基于扫描架构的回收集成电路零成本检测方法
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107583
Wendong Wang, Ujjwal Guin, A. Singh
The recycling of used integrated circuits (ICs) has raised serious problems in ensuring the integrity of today’s globalized semiconductor supply chain. This poses a serious threat to critical infrastructure due to potentially shorter lifetime, lower reliability, and poorer performance from these counterfeit new chips. Recently, we have proposed a highly effective approach for detecting such chips by exploiting the power-up state of on-chip SRAMs. Due to the symmetry of the memory array layout, an equal number of cells power-up to the 0 and 1 logic states in a new unused SRAM; this ratio gets skewed in time due to uneven NBTI aging from normal usage in the field. Although this solution is very effective in detecting recycled ICs, its applicability is somewhat limited as a large number older designs do not have large on-chip memories. In this paper, we propose an alternate approach based on the initial power-up state of scan flip-flops, which are present in virtually every digital circuit. Since the flip-flops, unlike SRAM cells, are generally not perfectly symmetrical in layout, an equal number of scan cells will not power-up to 0 or 1 logic states in most designs. Consequently, a stable time zero reference of 50% logic 0s and 1s cannot be used for determining the subsequent usage of a chip. To overcome this key limitation, we propose a novel solution in this paper that reliably identifies used ICs from testing the part alone, without the need for any additional reference data or even the netlist of the circuit. Through scan testing of the IC, we first identify a significant number of asymmetrically stressed flip-flops in the design, divided into two groups. One group of flip-flops is selected such that it mostly experiences the 1 logic state during functional operation, while the other group mostly experiences the 0 state. The resulting differential stress during operation causes growing disparity over time in the number of 0s (and 1s) observed in these two groups at power-up. When new and unaged, these two groups behave similarly, with similar percentage of 1s (or 0s). However, over time the differential stress makes these counts diverge. We show that this changing count can be a measure of operational aging. Our simulation results show that it is possible to reliably detect used ICs after as little as three months of operation.
废旧集成电路(ic)的回收在确保当今全球化半导体供应链的完整性方面提出了严重的问题。这对关键基础设施构成了严重威胁,因为这些假冒的新芯片可能会缩短使用寿命、降低可靠性和降低性能。最近,我们提出了一种利用片上ram的上电状态来检测此类芯片的高效方法。由于存储器阵列布局的对称性,在一个新的未使用的SRAM中,相等数量的单元上电到0和1逻辑状态;由于NBTI在现场的正常使用而不均匀老化,该比例在时间上发生倾斜。虽然该解决方案在检测回收ic方面非常有效,但由于大量旧设计没有大的片上存储器,其适用性受到一定限制。在本文中,我们提出了一种基于扫描触发器的初始上电状态的替代方法,它几乎存在于每个数字电路中。由于触发器与SRAM单元不同,在布局上通常不是完全对称的,因此在大多数设计中,相同数量的扫描单元不会上电到0或1逻辑状态。因此,50%逻辑0和1的稳定时间零参考不能用于确定芯片的后续使用。为了克服这一关键限制,我们在本文中提出了一种新颖的解决方案,可以通过单独测试来可靠地识别使用的ic,而无需任何额外的参考数据甚至电路的网络列表。通过对集成电路的扫描测试,我们首先确定了设计中大量的非对称应力触发器,分为两组。选择一组触发器,使其在功能运行时多处于1逻辑状态,而另一组触发器多处于0状态。在操作过程中产生的应力差导致上电时两组观察到的0(和1)的数量随着时间的推移而越来越大。当新人和未成年时,这两组人的表现相似,15分(或0分)的比例相似。然而,随着时间的推移,不同的压力使这些计数出现分歧。我们表明,这种变化计数可以衡量操作老化。我们的仿真结果表明,在短短三个月的运行后,就可以可靠地检测到使用过的ic。
{"title":"A Zero-Cost Detection Approach for Recycled ICs using Scan Architecture","authors":"Wendong Wang, Ujjwal Guin, A. Singh","doi":"10.1109/VTS48691.2020.9107583","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107583","url":null,"abstract":"The recycling of used integrated circuits (ICs) has raised serious problems in ensuring the integrity of today’s globalized semiconductor supply chain. This poses a serious threat to critical infrastructure due to potentially shorter lifetime, lower reliability, and poorer performance from these counterfeit new chips. Recently, we have proposed a highly effective approach for detecting such chips by exploiting the power-up state of on-chip SRAMs. Due to the symmetry of the memory array layout, an equal number of cells power-up to the 0 and 1 logic states in a new unused SRAM; this ratio gets skewed in time due to uneven NBTI aging from normal usage in the field. Although this solution is very effective in detecting recycled ICs, its applicability is somewhat limited as a large number older designs do not have large on-chip memories. In this paper, we propose an alternate approach based on the initial power-up state of scan flip-flops, which are present in virtually every digital circuit. Since the flip-flops, unlike SRAM cells, are generally not perfectly symmetrical in layout, an equal number of scan cells will not power-up to 0 or 1 logic states in most designs. Consequently, a stable time zero reference of 50% logic 0s and 1s cannot be used for determining the subsequent usage of a chip. To overcome this key limitation, we propose a novel solution in this paper that reliably identifies used ICs from testing the part alone, without the need for any additional reference data or even the netlist of the circuit. Through scan testing of the IC, we first identify a significant number of asymmetrically stressed flip-flops in the design, divided into two groups. One group of flip-flops is selected such that it mostly experiences the 1 logic state during functional operation, while the other group mostly experiences the 0 state. The resulting differential stress during operation causes growing disparity over time in the number of 0s (and 1s) observed in these two groups at power-up. When new and unaged, these two groups behave similarly, with similar percentage of 1s (or 0s). However, over time the differential stress makes these counts diverge. We show that this changing count can be a measure of operational aging. Our simulation results show that it is possible to reliably detect used ICs after as little as three months of operation.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124837378","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
VTS 2020 Keynotes VTS 2020主题演讲
Pub Date : 2020-04-01 DOI: 10.1109/vts48691.2020.9107634
{"title":"VTS 2020 Keynotes","authors":"","doi":"10.1109/vts48691.2020.9107634","DOIUrl":"https://doi.org/10.1109/vts48691.2020.9107634","url":null,"abstract":"","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128974915","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Taming Combinational Trojan Detection Challenges with Self-Referencing Adaptive Test Patterns 用自引用自适应测试模式驯服组合木马检测挑战
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107630
Chris Nigh, A. Orailoglu
While many side-channel methods have been proposed for detecting hardware Trojans inserted by an untrusted foundry, they are challenged in the face of process variation noise. The impacts of process variation have forced researchers to propose costly design enhancements to improve detection as a counter to the deficiency of current easy-to-implement test pattern-based methods. To overcome process variation noise with no design cost, we propose a novel self-referencing adaptive approach based on test pattern construction, which learns from and conforms to device characteristics to maximally magnify the Trojan signal. Through iterative test pattern modifications, response analyses, and decision-making, we can pursue suspicious behaviors and increase the likelihood of Trojan detection. Experiments on Trust-Hub Trojan circuit benchmarks show the efficacy of this technique, magnifying an equivocal starting signal 22 to 130 to deliver crisp resolution to the question of Trojan existence.
虽然已经提出了许多侧信道方法来检测由不可信的代工厂插入的硬件木马,但它们面临着工艺变化噪声的挑战。过程变化的影响迫使研究人员提出昂贵的设计改进来改进检测,以对抗当前易于实现的基于测试模式的方法的不足。为了在不增加设计成本的情况下克服工艺变化噪声,我们提出了一种基于测试模式构建的自参考自适应方法,该方法学习并符合器件特性,最大限度地放大特洛伊信号。通过迭代的测试模式修改、响应分析和决策,我们可以追踪可疑行为并增加木马检测的可能性。在Trust-Hub木马电路基准测试上的实验显示了该技术的有效性,将模棱两可的启动信号22放大到130,以提供对木马存在问题的清晰分辨率。
{"title":"Taming Combinational Trojan Detection Challenges with Self-Referencing Adaptive Test Patterns","authors":"Chris Nigh, A. Orailoglu","doi":"10.1109/VTS48691.2020.9107630","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107630","url":null,"abstract":"While many side-channel methods have been proposed for detecting hardware Trojans inserted by an untrusted foundry, they are challenged in the face of process variation noise. The impacts of process variation have forced researchers to propose costly design enhancements to improve detection as a counter to the deficiency of current easy-to-implement test pattern-based methods. To overcome process variation noise with no design cost, we propose a novel self-referencing adaptive approach based on test pattern construction, which learns from and conforms to device characteristics to maximally magnify the Trojan signal. Through iterative test pattern modifications, response analyses, and decision-making, we can pursue suspicious behaviors and increase the likelihood of Trojan detection. Experiments on Trust-Hub Trojan circuit benchmarks show the efficacy of this technique, magnifying an equivocal starting signal 22 to 130 to deliver crisp resolution to the question of Trojan existence.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116987539","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
ATTEST: Application-Agnostic Testing of a Novel Transistor-Level Programmable Fabric 一种新型晶体管级可编程结构的应用测试
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107561
M. Shihab, Bharath Ramanidharan, S. Tellakula, Gaurav Rajavendra Reddy, Jingxiang Tian, C. Sechen, Y. Makris
A recently introduced TRAnsistor-level Programmable fabric (TRAP) has demonstrated great promise towards seamless unification of high-density reconfigurable logic with Application-Specific Integrated Circuits (ASICs). However, practical deployment of TRAP relies on the development of a comprehensive mechanism for detecting manufacturing defects. Unfortunately, the state-of-the-art test schemes are developed either for ASICs or for Field-Programmable Gate Arrays (FPGAs) and do not support this new transistor-level architecture. To address this limitation, we present a novel application-agnostic test methodology specifically tailored to the TRAP fabric. We first introduce a multi-phase, cascadable scheme to efficiently test the programmable transistors in TRAP’s Logic Elements (LEs). Then, we define the required test patterns for verifying the correct functionality of the built-in D flip-flop, full-adder, and multiplexer of each LE. Next, we present a systematic approach for testing the interconnect network. Lastly, we discuss the limitations in testing the memory cells used for storing the TRAP programming bits and we propose design modifications for improving test coverage.
最近推出的晶体管级可编程结构(TRAP)已经展示了高密度可重构逻辑与专用集成电路(asic)无缝统一的巨大前景。然而,TRAP的实际部署依赖于检测制造缺陷的综合机制的发展。不幸的是,最先进的测试方案是为asic或现场可编程门阵列(fpga)开发的,不支持这种新的晶体管级架构。为了解决这一限制,我们提出了一种专门为TRAP结构量身定制的新型应用无关测试方法。我们首先介绍了一种多相、可级联的方案来有效地测试TRAP的逻辑元件(LEs)中的可编程晶体管。然后,我们定义了所需的测试模式,以验证每个LE的内置D触发器、全加法器和多路复用器的正确功能。接下来,我们提出了一种系统的互连网络测试方法。最后,我们讨论了测试用于存储TRAP编程位的存储单元的局限性,并提出了改进设计以提高测试覆盖率的建议。
{"title":"ATTEST: Application-Agnostic Testing of a Novel Transistor-Level Programmable Fabric","authors":"M. Shihab, Bharath Ramanidharan, S. Tellakula, Gaurav Rajavendra Reddy, Jingxiang Tian, C. Sechen, Y. Makris","doi":"10.1109/VTS48691.2020.9107561","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107561","url":null,"abstract":"A recently introduced TRAnsistor-level Programmable fabric (TRAP) has demonstrated great promise towards seamless unification of high-density reconfigurable logic with Application-Specific Integrated Circuits (ASICs). However, practical deployment of TRAP relies on the development of a comprehensive mechanism for detecting manufacturing defects. Unfortunately, the state-of-the-art test schemes are developed either for ASICs or for Field-Programmable Gate Arrays (FPGAs) and do not support this new transistor-level architecture. To address this limitation, we present a novel application-agnostic test methodology specifically tailored to the TRAP fabric. We first introduce a multi-phase, cascadable scheme to efficiently test the programmable transistors in TRAP’s Logic Elements (LEs). Then, we define the required test patterns for verifying the correct functionality of the built-in D flip-flop, full-adder, and multiplexer of each LE. Next, we present a systematic approach for testing the interconnect network. Lastly, we discuss the limitations in testing the memory cells used for storing the TRAP programming bits and we propose design modifications for improving test coverage.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115925829","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
2020 IEEE 38th VLSI Test Symposium (VTS)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1