首页 > 最新文献

2020 IEEE 38th VLSI Test Symposium (VTS)最新文献

英文 中文
Dynamic Multi-Frequency Test Method for Hidden Interconnect Defects 互连隐藏缺陷的动态多频测试方法
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107591
Somayeh Sadeghi Kohan, S. Hellebrand
In today’s system-on-chips, interconnect has an important role and affects the system’s reliability more than in conventional technologies. Interconnects suffer from crosstalk defects that result in delay and glitch faults. Furthermore, fab-induced variations lead to different sizes of crosstalk defects. The largest crosstalk defects are detected by conventional interconnect test methods, while the smaller ones do not change the system behavior and are left without detection. In this paper, we show that even smaller crosstalk defects have an inevitable impact on electro-migration (EM) degradation. They increase the current that conveys through the wire and consequently result in more EM degradation and shorter mean time to failure of the system. Simulation results show that in the worst case the EM degradation increases up to 90%, however, even in the normal situation 7.2% degradation can be observed for the PARSEC 2000 benchmark Because these Hidden Interconnect Defects cause different small delay sizes, we propose a multi-frequency test method to detect them properly. Our experimental results for 8000 different 32-bit interconnect layouts show that, on average, 6 frequencies and 81 test patterns are required for finding hidden interconnect defects.
在当今的片上系统中,互连技术对系统可靠性的影响比传统技术更大。互连遭受串扰缺陷,导致延迟和小故障。此外,晶圆厂诱导的变化导致串扰缺陷的大小不同。传统互连测试方法可以检测到最大的串扰缺陷,而较小的串扰缺陷不会改变系统行为,因此无需检测。在本文中,我们证明了即使较小的串扰缺陷也会对电迁移(EM)退化产生不可避免的影响。它们增加了通过导线传输的电流,从而导致更多的电磁退化和更短的系统故障平均时间。仿真结果表明,在最坏的情况下,电磁衰减可达90%,而在PARSEC 2000基准测试中,即使在正常情况下,也可以观察到7.2%的衰减。我们对8000种不同的32位互连布局的实验结果表明,平均需要6个频率和81个测试模式来发现隐藏的互连缺陷。
{"title":"Dynamic Multi-Frequency Test Method for Hidden Interconnect Defects","authors":"Somayeh Sadeghi Kohan, S. Hellebrand","doi":"10.1109/VTS48691.2020.9107591","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107591","url":null,"abstract":"In today’s system-on-chips, interconnect has an important role and affects the system’s reliability more than in conventional technologies. Interconnects suffer from crosstalk defects that result in delay and glitch faults. Furthermore, fab-induced variations lead to different sizes of crosstalk defects. The largest crosstalk defects are detected by conventional interconnect test methods, while the smaller ones do not change the system behavior and are left without detection. In this paper, we show that even smaller crosstalk defects have an inevitable impact on electro-migration (EM) degradation. They increase the current that conveys through the wire and consequently result in more EM degradation and shorter mean time to failure of the system. Simulation results show that in the worst case the EM degradation increases up to 90%, however, even in the normal situation 7.2% degradation can be observed for the PARSEC 2000 benchmark Because these Hidden Interconnect Defects cause different small delay sizes, we propose a multi-frequency test method to detect them properly. Our experimental results for 8000 different 32-bit interconnect layouts show that, on average, 6 frequencies and 81 test patterns are required for finding hidden interconnect defects.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116257090","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Pinhole Latent Defect Modeling and Simulation for Defect-Oriented Analog/Mixed-Signal Testing 面向缺陷的模拟/混合信号测试的针孔潜在缺陷建模与仿真
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107625
Jhon Gomez, Nektar Xama, Anthony Coyette, Ronny Vanhooren, Wim Dobbelaere, G. Gielen
Test detection of lifetime failures due to latent defects is a necessity to reach the tightening quality requirements of automotive systems. This paper presents a pinhole latent defect model, together with a simulation workflow, that can be used to develop defect-oriented analog test approaches for pinhole latent defects. This work also defines the latent defect coverage and activation coverage, providing the means to compare different test methods under the same rules. Furthermore, a circuit taken from an industrial mixed-signal IC is used as case study. The results show that the typically applied specification tests are insufficient to detect latent defects. It is demonstrated that the coverage can be increased by adding well-selected tests in combination with voltage stress techniques. Doing so, the coverage for the case study is increased by 15x.
为了达到汽车系统日益严格的质量要求,对潜在缺陷引起的寿命失效进行检测是必要的。本文提出了一个针孔潜在缺陷模型,并给出了一个仿真工作流,该模型可用于开发针对针孔潜在缺陷的面向缺陷的模拟测试方法。本工作还定义了潜在缺陷覆盖率和激活覆盖率,提供了在相同规则下比较不同测试方法的方法。此外,采用工业混合信号集成电路作为案例研究。结果表明,通常应用的规格测试不足以检测潜在缺陷。结果表明,通过与电压应力技术相结合,添加精心选择的测试,可以增加覆盖率。这样,案例研究的覆盖范围增加了15倍。
{"title":"Pinhole Latent Defect Modeling and Simulation for Defect-Oriented Analog/Mixed-Signal Testing","authors":"Jhon Gomez, Nektar Xama, Anthony Coyette, Ronny Vanhooren, Wim Dobbelaere, G. Gielen","doi":"10.1109/VTS48691.2020.9107625","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107625","url":null,"abstract":"Test detection of lifetime failures due to latent defects is a necessity to reach the tightening quality requirements of automotive systems. This paper presents a pinhole latent defect model, together with a simulation workflow, that can be used to develop defect-oriented analog test approaches for pinhole latent defects. This work also defines the latent defect coverage and activation coverage, providing the means to compare different test methods under the same rules. Furthermore, a circuit taken from an industrial mixed-signal IC is used as case study. The results show that the typically applied specification tests are insufficient to detect latent defects. It is demonstrated that the coverage can be increased by adding well-selected tests in combination with voltage stress techniques. Doing so, the coverage for the case study is increased by 15x.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116498783","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
On Classification of Acceptable Images for Reliable Artificial Intelligence Systems: A Case Study on Pedestrian Detection 用于可靠人工智能系统的可接受图像分类:以行人检测为例
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107565
Tong-Yu Hsieh, Pin-Xuan Wu, Chun-Chao Cheng
Images are essential data for many artificial intelligence (AI) systems such as pedestrian detection. However, image processing circuits or image storage devices may produce erroneous image data due to aging or radiation. In this paper we will show that there actually exists much tolerability in image errors. Moreover, for AI systems we find that the tolerability is even larger. This finding provides an attractive reliability enhancement solution by classifying and filtering acceptable images. This solution allows acceptable images to still go to the AI inference process, while unacceptable images are discarded, together with warning signals activated. In this work, we first evaluate and compare error tolerability of images from human and machine perspectives. Then a number of possible test methods to support machine based error-tolerance are discussed and compared in terms of their acceptability classification accuracy and computation cost. In particular, these methods should not need golden (error-free) images as the comparison basis. This greatly facilitates developing a low-cost on-line test architecture to enable a real-time reliability enhancement solution. Our experimental results show that when applying the suggested test method to pedestrian detection, 93.48% of the erroneous images can be correctly classified. The results also show that adopting machine-based error-tolerance can extend MTTF (Mean Time To Failure) of the pedestrian detection system up to additional 88.7%, while human vision based error-tolerance can extend only additional 35.1%.
图像是行人检测等许多人工智能(AI)系统的基本数据。然而,图像处理电路或图像存储设备可能由于老化或辐射而产生错误的图像数据。在本文中,我们将证明图像误差实际上存在很大的容忍度。此外,对于人工智能系统,我们发现容忍度甚至更大。这一发现通过分类和过滤可接受的图像提供了一个有吸引力的可靠性增强解决方案。这种解决方案允许可接受的图像仍然进入AI推理过程,而不可接受的图像被丢弃,并激活警告信号。在这项工作中,我们首先从人和机器的角度评估和比较图像的误差容忍度。然后讨论了支持机器容错的几种可能的测试方法,并从可接受性、分类精度和计算成本等方面进行了比较。特别是,这些方法不应该需要黄金(无误差)图像作为比较基础。这极大地促进了开发低成本在线测试体系结构,从而实现实时可靠性增强解决方案。实验结果表明,将本文提出的测试方法应用于行人检测时,可以正确分类出93.48%的错误图像。结果还表明,采用基于机器的容错可以将行人检测系统的MTTF (Mean Time To Failure)提高88.7%,而基于人类视觉的容错仅能提高35.1%。
{"title":"On Classification of Acceptable Images for Reliable Artificial Intelligence Systems: A Case Study on Pedestrian Detection","authors":"Tong-Yu Hsieh, Pin-Xuan Wu, Chun-Chao Cheng","doi":"10.1109/VTS48691.2020.9107565","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107565","url":null,"abstract":"Images are essential data for many artificial intelligence (AI) systems such as pedestrian detection. However, image processing circuits or image storage devices may produce erroneous image data due to aging or radiation. In this paper we will show that there actually exists much tolerability in image errors. Moreover, for AI systems we find that the tolerability is even larger. This finding provides an attractive reliability enhancement solution by classifying and filtering acceptable images. This solution allows acceptable images to still go to the AI inference process, while unacceptable images are discarded, together with warning signals activated. In this work, we first evaluate and compare error tolerability of images from human and machine perspectives. Then a number of possible test methods to support machine based error-tolerance are discussed and compared in terms of their acceptability classification accuracy and computation cost. In particular, these methods should not need golden (error-free) images as the comparison basis. This greatly facilitates developing a low-cost on-line test architecture to enable a real-time reliability enhancement solution. Our experimental results show that when applying the suggested test method to pedestrian detection, 93.48% of the erroneous images can be correctly classified. The results also show that adopting machine-based error-tolerance can extend MTTF (Mean Time To Failure) of the pedestrian detection system up to additional 88.7%, while human vision based error-tolerance can extend only additional 35.1%.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123170743","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
A dynamic reconfiguration mechanism to increase the reliability of GPGPUs 支持动态重配置机制,提高gpgpu的可靠性
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107572
J. E. R. Condia, Pierpaolo Narducci, M. Reorda, L. Sterpone
General Purpose Graphic Processing Units (GPGPUs) are effective solutions for high-demanding data processing applications. Recently, they started to be used even in safety-critical applications, such as autonomous car driving systems. GPGPUs are implemented using the latest semiconductor technologies, which are more prone to faults arising during the lifetime operation. However, until now fault mitigation solutions were not extensively included in GPGPUs, due to the limited reliability requirements of the applications they were originally intended for (e.g., gaming or multimedia). This work proposes a dynamically configurable self- repairing mechanism aimed at mitigating the impact of permanent faults in the Scalar Processor (SP) cores in GPGPUs. The mechanism is based on spare modules that can be used to replace faulty SPs when a fault is detected. A configuration instruction allows dynamically controlling in software the selection of the set of active SPs in the SM. The method is extremely flexible since it does not require any change in the application software. Experimental results show that the solution introduces a moderate area overhead while allowing continue working even in the case of any permanent faults affecting the SPs.
通用图形处理单元(gpgpu)是高要求数据处理应用的有效解决方案。最近,它们甚至开始用于安全关键应用,例如自动驾驶汽车系统。gpgpu采用最新的半导体技术实现,在终身运行过程中更容易出现故障。然而,到目前为止,故障缓解解决方案并没有广泛地包含在gpgpu中,因为它们最初打算用于的应用程序(例如,游戏或多媒体)的可靠性要求有限。本文提出了一种动态可配置的自修复机制,旨在减轻gpgpu中标量处理器(SP)核心永久故障的影响。该机制基于备用模块,当检测到故障时,备用模块可以替换故障的sp。配置指令允许在软件中动态控制SM中活动sp集的选择。该方法非常灵活,因为它不需要对应用程序软件进行任何更改。实验结果表明,该方案引入了适度的面积开销,即使在任何永久性故障影响SPs的情况下也可以继续工作。
{"title":"A dynamic reconfiguration mechanism to increase the reliability of GPGPUs","authors":"J. E. R. Condia, Pierpaolo Narducci, M. Reorda, L. Sterpone","doi":"10.1109/VTS48691.2020.9107572","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107572","url":null,"abstract":"General Purpose Graphic Processing Units (GPGPUs) are effective solutions for high-demanding data processing applications. Recently, they started to be used even in safety-critical applications, such as autonomous car driving systems. GPGPUs are implemented using the latest semiconductor technologies, which are more prone to faults arising during the lifetime operation. However, until now fault mitigation solutions were not extensively included in GPGPUs, due to the limited reliability requirements of the applications they were originally intended for (e.g., gaming or multimedia). This work proposes a dynamically configurable self- repairing mechanism aimed at mitigating the impact of permanent faults in the Scalar Processor (SP) cores in GPGPUs. The mechanism is based on spare modules that can be used to replace faulty SPs when a fault is detected. A configuration instruction allows dynamically controlling in software the selection of the set of active SPs in the SM. The method is extremely flexible since it does not require any change in the application software. Experimental results show that the solution introduces a moderate area overhead while allowing continue working even in the case of any permanent faults affecting the SPs.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127535306","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
SafeTPU: A Verifiably Secure Hardware Accelerator for Deep Neural Networks SafeTPU:一种可验证的深度神经网络安全硬件加速器
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107564
M. Collantes, Zahra Ghodsi, S. Garg
We present Safe-TPU, a framework for secure computations of Deep Neural Networks (DNNs) in untrusted hardware corrupted by Trojans or fault injection attacks. This work leverages previous advances on interactive proof (IP) systems for verifying, at run-time, the correctness of a neural network’s computations, and makes three new contributions: (1) We present a Trojan resilient DNN hardware accelerator based on interactive proofs; (2) We introduce new protocol enhancements that significantly reduce the space and time required to generate proofs; and (3) we propose an implementation of Safe-TPU with high parallelism and reuse of existing resources already deployed in the baseline DNN accelerator. We prototype Safe-TPU on an FPGA and analyze its security guarantees. Experimentally, we show that Safe-TPU’s area overhead is small (28%) over the baseline DNN accelerator and is 3.15× faster than state-of-the-art, while at the same time, Safe-TPU guarantees to catch, with high probability, any incorrect computations.
我们提出了Safe-TPU,这是一个用于在被木马或故障注入攻击破坏的不可信硬件中安全计算深度神经网络(dnn)的框架。这项工作利用了交互式证明(IP)系统的先前进展,用于在运行时验证神经网络计算的正确性,并做出了三个新的贡献:(1)我们提出了一个基于交互式证明的木马弹性DNN硬件加速器;(2)我们引入了新的协议增强功能,大大减少了生成证明所需的空间和时间;(3)我们提出了一种具有高并行性和重用已经部署在基线DNN加速器中的现有资源的Safe-TPU实现。在FPGA上对Safe-TPU进行了原型设计,并对其安全性进行了分析。实验表明,Safe-TPU的面积开销比基线DNN加速器小(28%),比最先进的速度快3.15倍,同时,Safe-TPU保证以高概率捕获任何不正确的计算。
{"title":"SafeTPU: A Verifiably Secure Hardware Accelerator for Deep Neural Networks","authors":"M. Collantes, Zahra Ghodsi, S. Garg","doi":"10.1109/VTS48691.2020.9107564","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107564","url":null,"abstract":"We present Safe-TPU, a framework for secure computations of Deep Neural Networks (DNNs) in untrusted hardware corrupted by Trojans or fault injection attacks. This work leverages previous advances on interactive proof (IP) systems for verifying, at run-time, the correctness of a neural network’s computations, and makes three new contributions: (1) We present a Trojan resilient DNN hardware accelerator based on interactive proofs; (2) We introduce new protocol enhancements that significantly reduce the space and time required to generate proofs; and (3) we propose an implementation of Safe-TPU with high parallelism and reuse of existing resources already deployed in the baseline DNN accelerator. We prototype Safe-TPU on an FPGA and analyze its security guarantees. Experimentally, we show that Safe-TPU’s area overhead is small (28%) over the baseline DNN accelerator and is 3.15× faster than state-of-the-art, while at the same time, Safe-TPU guarantees to catch, with high probability, any incorrect computations.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126481145","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Special Session: Physically Flexible Devices for Health and Activity Monitoring: Challenges from Design to Test 特别会议:用于健康和活动监测的物理柔性设备:从设计到测试的挑战
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107559
Y. Tuncel, Ganapati Bhat, U. Ogras
Recent developments in stretchable and flexible sensing and processing technologies enable a wide range of wearable devices. These devices can pave the way to medical applications ranging from health and activity monitoring to diagnosis and treatments of movement disorders. However, recent studies show that this potential is hindered by both adaptation challenges that affect the end users and technology challenges faced by developers. This paper first summarizes the challenges faced by wearable devices targeting health and user activity monitoring applications. Then, it reviews recent research progress towards addressing these challenges in energy harvesting, energy management, flexible system design, and test areas.
可拉伸和柔性传感和处理技术的最新发展使各种可穿戴设备成为可能。这些设备可以为医疗应用铺平道路,从健康和活动监测到运动障碍的诊断和治疗。然而,最近的研究表明,这种潜力受到影响最终用户的适应挑战和开发人员面临的技术挑战的阻碍。本文首先总结了针对健康和用户活动监测应用的可穿戴设备所面临的挑战。然后,回顾了在能量收集、能量管理、灵活系统设计和测试领域解决这些挑战的最新研究进展。
{"title":"Special Session: Physically Flexible Devices for Health and Activity Monitoring: Challenges from Design to Test","authors":"Y. Tuncel, Ganapati Bhat, U. Ogras","doi":"10.1109/VTS48691.2020.9107559","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107559","url":null,"abstract":"Recent developments in stretchable and flexible sensing and processing technologies enable a wide range of wearable devices. These devices can pave the way to medical applications ranging from health and activity monitoring to diagnosis and treatments of movement disorders. However, recent studies show that this potential is hindered by both adaptation challenges that affect the end users and technology challenges faced by developers. This paper first summarizes the challenges faced by wearable devices targeting health and user activity monitoring applications. Then, it reviews recent research progress towards addressing these challenges in energy harvesting, energy management, flexible system design, and test areas.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130987288","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Non-Masking Non-Robust Tests for Path Delay Faults 路径延迟故障的非屏蔽非鲁棒测试
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107556
I. Pomeranz
A test set for transition faults detects smaller delay defects if transition faults are detected through longer paths. Conversely, this paper observes that it is advantageous for a test set for path delay faults, which targets small delay defects, to detect larger delay defects along the paths. The paper defines a notion of masking that prevents larger delay defects from being detected. It defines a non-masking non-robust test for a path delay fault that guarantees the detection of larger delay defects along the path. It also defines masking metrics that allow the level of masking of larger delay defects for a test, and a test set, to be evaluated. Using these metrics, the paper describes a procedure that computes a test set for path delay faults with reduced levels of masking for larger delay defects. Experimental results are presented to demonstrate the extent to which masking of larger delay defects occurs under tests for path delay faults in benchmark circuits.
如果通过较长的路径检测到转换故障,则转换故障的测试集检测到较小的延迟缺陷。相反,本文观察到,对于以小延迟缺陷为目标的路径延迟故障测试集,更有利于沿路径检测较大的延迟缺陷。本文定义了一个屏蔽的概念,以防止较大的延迟缺陷被检测到。它为路径延迟故障定义了一种非屏蔽非鲁棒测试,以保证在路径上检测到更大的延迟缺陷。它还定义了屏蔽度量,允许对测试和测试集的较大延迟缺陷的屏蔽级别进行评估。利用这些度量,本文描述了一个计算路径延迟故障的测试集的过程,该过程对较大的延迟缺陷具有较低的掩蔽水平。实验结果表明,在基准电路中对路径延迟故障进行测试时,较大延迟缺陷的掩蔽程度。
{"title":"Non-Masking Non-Robust Tests for Path Delay Faults","authors":"I. Pomeranz","doi":"10.1109/VTS48691.2020.9107556","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107556","url":null,"abstract":"A test set for transition faults detects smaller delay defects if transition faults are detected through longer paths. Conversely, this paper observes that it is advantageous for a test set for path delay faults, which targets small delay defects, to detect larger delay defects along the paths. The paper defines a notion of masking that prevents larger delay defects from being detected. It defines a non-masking non-robust test for a path delay fault that guarantees the detection of larger delay defects along the path. It also defines masking metrics that allow the level of masking of larger delay defects for a test, and a test set, to be evaluated. Using these metrics, the paper describes a procedure that computes a test set for path delay faults with reduced levels of masking for larger delay defects. Experimental results are presented to demonstrate the extent to which masking of larger delay defects occurs under tests for path delay faults in benchmark circuits.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116428156","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Sequence Triggered Hardware Trojan in Neural Network Accelerator 神经网络加速器中的顺序触发硬件木马
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107582
Zizhen Liu, Jing Ye, Xing Hu, Huawei Li, Xiaowei Li, Yu Hu
With the rapid development of deep learning techniques, the security issue for Neural Network (NN) systems has emerged as an urgent and severe problem. Hardware Trojan attack is one of the threatens, which provides attackers backdoors to control the prediction results of NN systems. This paper proposes a sequence triggered hardware Trojan. Normal images but with specific sequence are used to trigger the hardware Trojan and let attackers fully control the prediction results. This kind of trigger is not only robust to image pre-processing, but also unrecognizable by human beings. In comparison with existing hardware Trojan design, it is more practical and less hardware overhead. The experiments on MNIST, CIFAR100, and ISLVRC show that the proposed hardware Trojan is rarely triggered in normal working status while the hardware cost is reduced by 19X.
随着深度学习技术的迅速发展,神经网络系统的安全问题已经成为一个迫切而严峻的问题。硬件木马攻击是其中一种威胁,它为攻击者控制神经网络系统的预测结果提供了后门。提出了一种序列触发的硬件木马。利用具有特定序列的正常图像触发硬件木马,让攻击者完全控制预测结果。这种触发器不仅对图像预处理具有鲁棒性,而且难以被人类识别。与现有的硬件木马设计相比,它更实用,硬件开销更小。在MNIST、CIFAR100和ISLVRC上的实验表明,所提出的硬件木马在正常工作状态下很少被触发,硬件成本降低了19倍。
{"title":"Sequence Triggered Hardware Trojan in Neural Network Accelerator","authors":"Zizhen Liu, Jing Ye, Xing Hu, Huawei Li, Xiaowei Li, Yu Hu","doi":"10.1109/VTS48691.2020.9107582","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107582","url":null,"abstract":"With the rapid development of deep learning techniques, the security issue for Neural Network (NN) systems has emerged as an urgent and severe problem. Hardware Trojan attack is one of the threatens, which provides attackers backdoors to control the prediction results of NN systems. This paper proposes a sequence triggered hardware Trojan. Normal images but with specific sequence are used to trigger the hardware Trojan and let attackers fully control the prediction results. This kind of trigger is not only robust to image pre-processing, but also unrecognizable by human beings. In comparison with existing hardware Trojan design, it is more practical and less hardware overhead. The experiments on MNIST, CIFAR100, and ISLVRC show that the proposed hardware Trojan is rarely triggered in normal working status while the hardware cost is reduced by 19X.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130133342","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Quantile – Quantile Fitting Approach to Detect Site to Site Variations in Massive Multi-site Testing 分位数-分位数拟合方法在大规模多站点测试中检测站点之间的差异
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107616
Praise O. Farayola, Shravan K. Chaganti, Abdullah O. Obaidi, Abalhassan Sheikh, S. Ravi, Degang Chen
Multi-site testing saves test time and tests cost by screening multiple chips at once. However, it comes with its issues. As test engineers increase the number of sites on each tester to further save test time and cost, variations are now being observed in measurements from site to site which do not correspond to actual problems in the devices under test. Thus, a cost-effective way to investigate site to site variations and identify sites with issues needs to be developed to ensure high test quality and to rule out possible problems arising from the test hardware. In this paper, regression fitting on a quantile-quantile curve is used to compare the distribution of each site to a theoretical and expected distribution. This is shown to pronounce site to site variations inherent in test data, hence identifying issue-ridden sites with ease. The quantile-quantile plot compares the integrals of two probability density functions in a single plot, thus capturing the location, scale, and skewness of the test data set. This method provides more information to the test engineer than classical statistical methods that rely on single test statistics for distribution comparison and is at no extra cost.
多点检测一次对多个芯片进行筛选,节省了检测时间和成本。然而,它也有自己的问题。随着测试工程师为进一步节省测试时间和成本而增加每个测试仪上的站点数量,现在可以观察到不同站点的测量变化,这些变化与被测设备的实际问题不符。因此,需要开发一种具有成本效益的方法来调查站点到站点的变化,并确定有问题的站点,以确保高测试质量,并排除由测试硬件产生的可能问题。本文使用分位数-分位数曲线的回归拟合来比较每个站点的分布与理论分布和期望分布。这显示了测试数据中固有的站点到站点的变化,因此很容易识别问题缠身的站点。分位数-分位数图在单个图中比较两个概率密度函数的积分,从而捕获测试数据集的位置、规模和偏度。这种方法为测试工程师提供了比传统统计方法更多的信息,后者依赖于单个测试统计来进行分布比较,并且不需要额外的成本。
{"title":"Quantile – Quantile Fitting Approach to Detect Site to Site Variations in Massive Multi-site Testing","authors":"Praise O. Farayola, Shravan K. Chaganti, Abdullah O. Obaidi, Abalhassan Sheikh, S. Ravi, Degang Chen","doi":"10.1109/VTS48691.2020.9107616","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107616","url":null,"abstract":"Multi-site testing saves test time and tests cost by screening multiple chips at once. However, it comes with its issues. As test engineers increase the number of sites on each tester to further save test time and cost, variations are now being observed in measurements from site to site which do not correspond to actual problems in the devices under test. Thus, a cost-effective way to investigate site to site variations and identify sites with issues needs to be developed to ensure high test quality and to rule out possible problems arising from the test hardware. In this paper, regression fitting on a quantile-quantile curve is used to compare the distribution of each site to a theoretical and expected distribution. This is shown to pronounce site to site variations inherent in test data, hence identifying issue-ridden sites with ease. The quantile-quantile plot compares the integrals of two probability density functions in a single plot, thus capturing the location, scale, and skewness of the test data set. This method provides more information to the test engineer than classical statistical methods that rely on single test statistics for distribution comparison and is at no extra cost.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130852369","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Special Session: AutoSoC - A Suite of Open-Source Automotive SoC Benchmarks 特别会议:AutoSoC -一套开源汽车SoC基准
Pub Date : 2020-04-01 DOI: 10.1109/VTS48691.2020.9107599
F. A. D. Silva, A. Bagbaba, A. Ruospo, R. Mariani, G. Kanawati, E. Sánchez, M. Reorda, M. Jenihhin, S. Hamdioui, C. Sauer
The current demands for autonomous driving generated momentum for an increase in research in the different technologies required for these applications. Nonetheless, the limited access to representative designs and industrial methodologies poses a challenge to the research community. Considering this scenario, there is a high demand for an open-source solution that could support development of research targeting automotive applications. This paper presents the current status of AutoSoC, an automotive SoC benchmark suite that includes hardware and software elements and is entirely open-source. The objective is to provide researchers with an industrial-grade automotive SoC that includes all essential components, is fully customizable, and enables analysis of functional safety solutions and automotive SoC configurations. This paper describes the available configurations of the benchmark including an initial assessment for ASIL B to D configurations.
当前对自动驾驶的需求为这些应用所需的不同技术的研究增加了动力。尽管如此,对代表性设计和工业方法的有限访问对研究界构成了挑战。考虑到这种情况,对开源解决方案的需求很大,它可以支持针对汽车应用程序的研究开发。本文介绍了AutoSoC的现状,AutoSoC是一个汽车SoC基准套件,包括硬件和软件元素,并且是完全开源的。其目标是为研究人员提供一个工业级汽车SoC,包括所有基本组件,完全可定制,并能够分析功能安全解决方案和汽车SoC配置。本文描述了基准测试的可用配置,包括对ASIL B到D配置的初始评估。
{"title":"Special Session: AutoSoC - A Suite of Open-Source Automotive SoC Benchmarks","authors":"F. A. D. Silva, A. Bagbaba, A. Ruospo, R. Mariani, G. Kanawati, E. Sánchez, M. Reorda, M. Jenihhin, S. Hamdioui, C. Sauer","doi":"10.1109/VTS48691.2020.9107599","DOIUrl":"https://doi.org/10.1109/VTS48691.2020.9107599","url":null,"abstract":"The current demands for autonomous driving generated momentum for an increase in research in the different technologies required for these applications. Nonetheless, the limited access to representative designs and industrial methodologies poses a challenge to the research community. Considering this scenario, there is a high demand for an open-source solution that could support development of research targeting automotive applications. This paper presents the current status of AutoSoC, an automotive SoC benchmark suite that includes hardware and software elements and is entirely open-source. The objective is to provide researchers with an industrial-grade automotive SoC that includes all essential components, is fully customizable, and enables analysis of functional safety solutions and automotive SoC configurations. This paper describes the available configurations of the benchmark including an initial assessment for ASIL B to D configurations.","PeriodicalId":326132,"journal":{"name":"2020 IEEE 38th VLSI Test Symposium (VTS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129448877","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
期刊
2020 IEEE 38th VLSI Test Symposium (VTS)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1