首页 > 最新文献

2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)最新文献

英文 中文
Practical placement and routing techniques for analog circuit designs 模拟电路设计的实用放置和布线技术
Pub Date : 2010-11-07 DOI: 10.1109/ICCAD.2010.5654239
Linfu Xiao, Evangeline F. Y. Young, Xiao-Yong He, K. Pun
In this paper, we will present an effective layout method for analog circuits. We consider symmetry constraint, common centroid constraint, device merging and device clustering during the placement step. Symmetric routing will then be performed. In order to have successful routing, we will perform analog-based routability-driven adjustment during the placement process, taking into account for analog circuits that wires are not preferred to be layout on top of active devices. All these concepts were put together in our tool. Experimental results show that we can generate quality analog layout within minutes of time that passes the design rule check, layout-schematic verification and the simulation results are comparable with those of manual design, while a manual design will take a designer a couple of days to generate.
在本文中,我们将提出一种有效的模拟电路布局方法。在放置步骤中考虑了对称约束、共质心约束、器件合并和器件聚类。然后将执行对称路由。为了实现成功的布线,我们将在放置过程中执行基于模拟的可达性驱动调整,同时考虑到不希望将电线布置在有源器件顶部的模拟电路。所有这些概念都放在我们的工具中。实验结果表明,我们可以在几分钟内生成高质量的模拟版图,通过设计规则检查,布图验证,仿真结果与手工设计相当,而手工设计需要设计师几天的时间才能生成。
{"title":"Practical placement and routing techniques for analog circuit designs","authors":"Linfu Xiao, Evangeline F. Y. Young, Xiao-Yong He, K. Pun","doi":"10.1109/ICCAD.2010.5654239","DOIUrl":"https://doi.org/10.1109/ICCAD.2010.5654239","url":null,"abstract":"In this paper, we will present an effective layout method for analog circuits. We consider symmetry constraint, common centroid constraint, device merging and device clustering during the placement step. Symmetric routing will then be performed. In order to have successful routing, we will perform analog-based routability-driven adjustment during the placement process, taking into account for analog circuits that wires are not preferred to be layout on top of active devices. All these concepts were put together in our tool. Experimental results show that we can generate quality analog layout within minutes of time that passes the design rule check, layout-schematic verification and the simulation results are comparable with those of manual design, while a manual design will take a designer a couple of days to generate.","PeriodicalId":344703,"journal":{"name":"2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":"113 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124319906","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 48
Unified theory of real-time task scheduling and dynamic voltage/frequency Scaling on MPSoCs mpsoc上实时任务调度和动态电压/频率缩放的统一理论
Pub Date : 2010-11-07 DOI: 10.1109/ICCAD.2010.5654119
H. Kooti, E. Bozorgzadeh
Dynamic voltage/frequency scaling (DVFS) and adaptive body biasing (ABB) have shown to effectively reduce dynamic and leakage energy consumption in real-time embedded systems. Although these techniques exploit the slack time on a given task ordering, the task ordering may not provide a slack time distribution that DVFS/ABB can benefit from and this can limit the potential energy saving such techniques can provide. In this paper, we present an optimal network flow based solution for simultaneous static real-time scheduling and energy minimization (DVFS and ABB) on multiprocessors. Results show that our optimal solution reduces the energy dissipation by 47.84%, 26.21% and 17.46%, on average, in comparison with no-DVFS execution, voltage scaling algorithm with virtual continuous speed [1] and an optimal energy minimization algorithm without task re-ordering [2], respectively.
动态电压/频率缩放(DVFS)和自适应体偏置(ABB)已被证明可以有效降低实时嵌入式系统的动态和泄漏能耗。尽管这些技术利用了给定任务排序上的空闲时间,但任务排序可能无法提供DVFS/ABB可以从中受益的空闲时间分布,这可能会限制此类技术可以提供的潜在节能。本文提出了一种基于最优网络流的多处理器同步静态实时调度和能量最小化(DVFS和ABB)解决方案。结果表明,与无dvfs执行、具有虚拟连续速度的电压缩放算法[1]和无任务重排序的最优能量最小化算法[2]相比,我们的最优方案平均减少了47.84%、26.21%和17.46%的能量耗散。
{"title":"Unified theory of real-time task scheduling and dynamic voltage/frequency Scaling on MPSoCs","authors":"H. Kooti, E. Bozorgzadeh","doi":"10.1109/ICCAD.2010.5654119","DOIUrl":"https://doi.org/10.1109/ICCAD.2010.5654119","url":null,"abstract":"Dynamic voltage/frequency scaling (DVFS) and adaptive body biasing (ABB) have shown to effectively reduce dynamic and leakage energy consumption in real-time embedded systems. Although these techniques exploit the slack time on a given task ordering, the task ordering may not provide a slack time distribution that DVFS/ABB can benefit from and this can limit the potential energy saving such techniques can provide. In this paper, we present an optimal network flow based solution for simultaneous static real-time scheduling and energy minimization (DVFS and ABB) on multiprocessors. Results show that our optimal solution reduces the energy dissipation by 47.84%, 26.21% and 17.46%, on average, in comparison with no-DVFS execution, voltage scaling algorithm with virtual continuous speed [1] and an optimal energy minimization algorithm without task re-ordering [2], respectively.","PeriodicalId":344703,"journal":{"name":"2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":"57 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116759459","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Memory access aware on-line voltage control for performance and energy optimization 存储器访问感知在线电压控制的性能和能量优化
Pub Date : 2010-11-07 DOI: 10.1109/ICCAD.2010.5653631
X. Chen, Chi Xu, R. Dick
This paper describes an off-chip memory access-aware runtime DVFS control technique that minimizes energy consumption subject to constraints on application execution times. We consider application phases and the implications of changing cache miss rates on the ideal power control state. We first propose a two-stage DVFS algorithm based on formulating the throughput-constrained energy minimization problem as a multiple-choice knapsack problem (MCKP). This algorithm uses a power model that adapts to application phase changes by observing processor hardware performance counter values. The solutions it produces provide upper bounds on the energy savings achievable under a performance constraint. However, this algorithm assumes a priori (oracle or profiling-based) knowledge of application phase change behavior. To relax this assumption, we propose P-DVFS, an predictive DVFS algorithm for on-line minimization of energy consumption under a performance constraint without requiring a priori knowledge of an application's behavior. P-DVFS uses hardware performance counter based performance and power models. It predicts remaining execution time online in order to control voltage and frequency settings to optimize energy consumption and performance. The P-DVFS problem is formulated as a multiple-choice knapsack problem, which can be efficiently and optimally solved online. We evaluated P-DVFS using direct measurement of a real DVFS-equipped system. When bounding performance loss to at most 20% of that at the maximum frequency and voltage, P-DVFS leads to energy consumptions within 1.83% of the optimal solution for our problem instances on average with a maximum deviation of 4.83%. In addition to producing results approaching those of an oracle formulation, P-DVFS reduces power consumption for our problem instances by 9.93% on average, and up to 25.64%, compared with the most advanced related work.
本文描述了一种芯片外内存访问感知运行时DVFS控制技术,该技术在应用程序执行时间的限制下最大限度地减少了能耗。我们考虑了应用阶段和改变缓存丢失率对理想功率控制状态的影响。我们首先提出了一种基于将吞吐量约束的能量最小化问题表述为多项选择背包问题(MCKP)的两阶段DVFS算法。该算法采用功率模型,通过观察处理器硬件性能计数器值来适应应用程序的相位变化。它产生的解决方案提供了在性能限制下可实现的能源节约的上限。然而,该算法假定对应用程序的阶段变化行为有先验的(基于oracle或基于分析的)知识。为了放松这一假设,我们提出了P-DVFS,这是一种预测DVFS算法,用于在性能约束下在线最小化能耗,而无需先验地了解应用程序的行为。P-DVFS采用基于硬件性能计数器的性能和功耗模型。它在线预测剩余的执行时间,以便控制电压和频率设置,以优化能耗和性能。将P-DVFS问题表述为一个多选题背包问题,可以在线高效最优求解。我们通过直接测量配备dvfs的真实系统来评估P-DVFS。当P-DVFS将性能损失限制在最高频率和电压下的20%以内时,对于我们的问题实例,P-DVFS的能耗平均在最优解的1.83%以内,最大偏差为4.83%。除了产生接近oracle公式的结果之外,P-DVFS还将我们的问题实例的功耗平均降低了9.93%,与最先进的相关工作相比,最高可降低25.64%。
{"title":"Memory access aware on-line voltage control for performance and energy optimization","authors":"X. Chen, Chi Xu, R. Dick","doi":"10.1109/ICCAD.2010.5653631","DOIUrl":"https://doi.org/10.1109/ICCAD.2010.5653631","url":null,"abstract":"This paper describes an off-chip memory access-aware runtime DVFS control technique that minimizes energy consumption subject to constraints on application execution times. We consider application phases and the implications of changing cache miss rates on the ideal power control state. We first propose a two-stage DVFS algorithm based on formulating the throughput-constrained energy minimization problem as a multiple-choice knapsack problem (MCKP). This algorithm uses a power model that adapts to application phase changes by observing processor hardware performance counter values. The solutions it produces provide upper bounds on the energy savings achievable under a performance constraint. However, this algorithm assumes a priori (oracle or profiling-based) knowledge of application phase change behavior. To relax this assumption, we propose P-DVFS, an predictive DVFS algorithm for on-line minimization of energy consumption under a performance constraint without requiring a priori knowledge of an application's behavior. P-DVFS uses hardware performance counter based performance and power models. It predicts remaining execution time online in order to control voltage and frequency settings to optimize energy consumption and performance. The P-DVFS problem is formulated as a multiple-choice knapsack problem, which can be efficiently and optimally solved online. We evaluated P-DVFS using direct measurement of a real DVFS-equipped system. When bounding performance loss to at most 20% of that at the maximum frequency and voltage, P-DVFS leads to energy consumptions within 1.83% of the optimal solution for our problem instances on average with a maximum deviation of 4.83%. In addition to producing results approaching those of an oracle formulation, P-DVFS reduces power consumption for our problem instances by 9.93% on average, and up to 25.64%, compared with the most advanced related work.","PeriodicalId":344703,"journal":{"name":"2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":"29 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122612049","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
System-level impact of chip-level failure mechanisms and screens 芯片级故障机制和屏幕的系统级影响
Pub Date : 2010-11-07 DOI: 10.1109/ICCAD.2010.5654135
A. Gattiker
This paper provides an overview of chip-level failure mechanisms and test screens. Emphasis is placed on detectability of defect mechanisms by chip-level test, trends that affect failure mechanisms and screens, and resulting implications for system-level yield and reliability.
本文提供了芯片级故障机制和测试屏幕的概述。重点是通过芯片级测试检测缺陷机制,影响失效机制和筛选的趋势,以及对系统级产量和可靠性的影响。
{"title":"System-level impact of chip-level failure mechanisms and screens","authors":"A. Gattiker","doi":"10.1109/ICCAD.2010.5654135","DOIUrl":"https://doi.org/10.1109/ICCAD.2010.5654135","url":null,"abstract":"This paper provides an overview of chip-level failure mechanisms and test screens. Emphasis is placed on detectability of defect mechanisms by chip-level test, trends that affect failure mechanisms and screens, and resulting implications for system-level yield and reliability.","PeriodicalId":344703,"journal":{"name":"2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":"53 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122879243","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Electrical characterization of RF TSV for 3D multi-core and heterogeneous ICs 三维多核和异构集成电路射频TSV的电学特性
Pub Date : 2010-11-07 DOI: 10.1109/ICCAD.2010.5654244
Le Yu, Haigang Yang, T. T. Jing, Min Xu, R. Geer, Wei Wang
In this paper, radio frequency (RF) through-silicon via (TSV) designs and models are proposed to achieve high-frequency vertical connectivity for three dimensional (3D) multi-core and heterogeneous ICs. Specifically, coaxial dielectric and novel air-gap-based TSVs are designed and simulated to reduce signal degradation during RF operations. The simulation results demonstrate that these RF TSVs can provide decay-tolerance frequencies two orders of magnitude higher than simple Cu-plug TSVs. The data rate and energy per bit of the RF TSVs are summarized, providing an important guideline for future 3D high-frequency TSV designs.
本文提出了射频(RF)硅通孔(TSV)设计和模型,以实现三维(3D)多核和异构集成电路的高频垂直连接。具体来说,设计和模拟了同轴介质和新型基于气隙的tsv,以减少射频操作过程中的信号退化。仿真结果表明,这种射频tsv可以提供比简单的cu插头tsv高两个数量级的衰减容限频率。总结了射频TSV的数据速率和每比特能量,为未来三维高频TSV的设计提供了重要的指导。
{"title":"Electrical characterization of RF TSV for 3D multi-core and heterogeneous ICs","authors":"Le Yu, Haigang Yang, T. T. Jing, Min Xu, R. Geer, Wei Wang","doi":"10.1109/ICCAD.2010.5654244","DOIUrl":"https://doi.org/10.1109/ICCAD.2010.5654244","url":null,"abstract":"In this paper, radio frequency (RF) through-silicon via (TSV) designs and models are proposed to achieve high-frequency vertical connectivity for three dimensional (3D) multi-core and heterogeneous ICs. Specifically, coaxial dielectric and novel air-gap-based TSVs are designed and simulated to reduce signal degradation during RF operations. The simulation results demonstrate that these RF TSVs can provide decay-tolerance frequencies two orders of magnitude higher than simple Cu-plug TSVs. The data rate and energy per bit of the RF TSVs are summarized, providing an important guideline for future 3D high-frequency TSV designs.","PeriodicalId":344703,"journal":{"name":"2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":"83 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128956917","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
SPIRE: A retiming-based physical-synthesis transformation system 尖顶:一个基于定时的物理合成转换系统
Pub Date : 2010-11-07 DOI: 10.1109/ICCAD.2010.5653647
D. Papa, Smita Krishnaswamy, I. Markov
The impact of physical synthesis on design performance is increasing as process technology scales. Current physical synthesis flows generally perform a series of individual netlist transformations based on local timing conditions. However, such optimizations lack sufficient perspective or scope to achieve timing closure in many cases. To address these issues, we develop an integrated transformation system that performs multiple optimizations simultaneously on larger design partitions than existing approaches. Our system, SPIRE, combines physically-aware register retiming, along with a novel form of cloning and register placement. SPIRE also incorporates a placement-dependent static timing analyzer (STA) with a delay model that accounts for buffering and is suitable for physical synthesis. Empirical results on 45nm microprocessor designs show 8% improvement in worst-case slack and 69% improvement in total negative slack after an industrial physical synthesis flow was already completed.
随着工艺技术的发展,物理合成对设计性能的影响越来越大。当前的物理合成流通常基于局部时序条件执行一系列单独的网表转换。然而,在许多情况下,这种优化缺乏足够的视角或范围来实现定时关闭。为了解决这些问题,我们开发了一个集成的转换系统,它可以在比现有方法更大的设计分区上同时执行多个优化。我们的系统SPIRE结合了物理感知的寄存器重定时,以及一种新颖的克隆和寄存器放置形式。SPIRE还集成了一个位置相关的静态定时分析仪(STA),具有考虑缓冲的延迟模型,适用于物理合成。45nm微处理器设计的实证结果表明,在工业物理合成流程已经完成后,最坏情况松弛改善了8%,总负松弛改善了69%。
{"title":"SPIRE: A retiming-based physical-synthesis transformation system","authors":"D. Papa, Smita Krishnaswamy, I. Markov","doi":"10.1109/ICCAD.2010.5653647","DOIUrl":"https://doi.org/10.1109/ICCAD.2010.5653647","url":null,"abstract":"The impact of physical synthesis on design performance is increasing as process technology scales. Current physical synthesis flows generally perform a series of individual netlist transformations based on local timing conditions. However, such optimizations lack sufficient perspective or scope to achieve timing closure in many cases. To address these issues, we develop an integrated transformation system that performs multiple optimizations simultaneously on larger design partitions than existing approaches. Our system, SPIRE, combines physically-aware register retiming, along with a novel form of cloning and register placement. SPIRE also incorporates a placement-dependent static timing analyzer (STA) with a delay model that accounts for buffering and is suitable for physical synthesis. Empirical results on 45nm microprocessor designs show 8% improvement in worst-case slack and 69% improvement in total negative slack after an industrial physical synthesis flow was already completed.","PeriodicalId":344703,"journal":{"name":"2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":"3 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116711673","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Symbolic performance analysis of elastic systems 弹性系统的符号性能分析
Pub Date : 2010-11-07 DOI: 10.1109/ICCAD.2010.5653886
Marc Galceran Oms, J. Cortadella, M. Kishinevsky
Elastic systems, either synchronous or asynchronous, can be optimized for the average-case performance when they have units with early evaluation or variable latency. The performance evaluation of such systems using analytical methods is a complex problem and may become a bottleneck when an extensive exploration of different architectural configurations must be done. This paper proposes an analytical method for performance evaluation using symbolic expressions. Two version of the method are presented: an exact method that has high run time complexity and an efficient approximate method that computes the lower bound of the system throughput.
当弹性系统具有早期评估或可变延迟的单元时,无论是同步系统还是异步系统,都可以针对平均情况的性能进行优化。使用分析方法对这些系统进行性能评估是一个复杂的问题,当必须对不同的体系结构配置进行广泛的探索时,可能会成为瓶颈。本文提出了一种用符号表达式进行性能评价的分析方法。给出了该方法的两种版本:一种是运行时复杂度较高的精确方法,另一种是计算系统吞吐量下界的高效近似方法。
{"title":"Symbolic performance analysis of elastic systems","authors":"Marc Galceran Oms, J. Cortadella, M. Kishinevsky","doi":"10.1109/ICCAD.2010.5653886","DOIUrl":"https://doi.org/10.1109/ICCAD.2010.5653886","url":null,"abstract":"Elastic systems, either synchronous or asynchronous, can be optimized for the average-case performance when they have units with early evaluation or variable latency. The performance evaluation of such systems using analytical methods is a complex problem and may become a bottleneck when an extensive exploration of different architectural configurations must be done. This paper proposes an analytical method for performance evaluation using symbolic expressions. Two version of the method are presented: an exact method that has high run time complexity and an efficient approximate method that computes the lower bound of the system throughput.","PeriodicalId":344703,"journal":{"name":"2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":"389 2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121248736","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A self-evolving design methodology for power efficient multi-core systems 一种高效多核系统的自进化设计方法
Pub Date : 2010-11-07 DOI: 10.1109/ICCAD.2010.5654175
J. Sun, Rui Zheng, J. Velamala, Yu Cao, Roman L. Lysecky, Karthik Shankar, Janet Roveda
This paper introduces a new methodology that characterizes aging-duty cycle and aging-supply voltage relationships that are applicable to minimizing power consumption and task execution time to achieve low Bit-Energy-Ratio (BER). In contrast to the traditional workload balancing scheme where cores are regarded as homogeneous, we proposed a new task scheduler that ranks cores according to their various competitiveness evaluated based upon their reliability, temperature and timing requirements. Consequently, the new approach combines internal characteristics (aging-duty cycle and aging-supply voltage curves) into an integrated framework to achieve system performance improvement or graceful degradation with high reliability and low power. Experimental results show that the proposed method has achieved 18% power reduction with about 4% performance degradation (in terms of accomplished workload) compared with traditional workload balancing methods.
本文介绍了一种表征老化-占空比和老化-电源电压关系的新方法,该方法适用于最小化功耗和任务执行时间以实现低比特能量比(BER)。与传统的工作负载平衡方案不同,我们提出了一种新的任务调度器,根据核的可靠性、温度和时间要求来评估核的各种竞争力。因此,新方法将内部特性(老化占空比和老化电源电压曲线)整合到一个集成框架中,以实现高可靠性和低功耗的系统性能改进或优雅退化。实验结果表明,与传统的负载均衡方法相比,该方法的功耗降低了18%,性能下降了约4%(就完成的工作负载而言)。
{"title":"A self-evolving design methodology for power efficient multi-core systems","authors":"J. Sun, Rui Zheng, J. Velamala, Yu Cao, Roman L. Lysecky, Karthik Shankar, Janet Roveda","doi":"10.1109/ICCAD.2010.5654175","DOIUrl":"https://doi.org/10.1109/ICCAD.2010.5654175","url":null,"abstract":"This paper introduces a new methodology that characterizes aging-duty cycle and aging-supply voltage relationships that are applicable to minimizing power consumption and task execution time to achieve low Bit-Energy-Ratio (BER). In contrast to the traditional workload balancing scheme where cores are regarded as homogeneous, we proposed a new task scheduler that ranks cores according to their various competitiveness evaluated based upon their reliability, temperature and timing requirements. Consequently, the new approach combines internal characteristics (aging-duty cycle and aging-supply voltage curves) into an integrated framework to achieve system performance improvement or graceful degradation with high reliability and low power. Experimental results show that the proposed method has achieved 18% power reduction with about 4% performance degradation (in terms of accomplished workload) compared with traditional workload balancing methods.","PeriodicalId":344703,"journal":{"name":"2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":"29 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124365829","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Sequential importance sampling for low-probability and high-dimensional SRAM yield analysis 低概率和高维SRAM成品率分析的顺序重要抽样
Pub Date : 2010-11-07 DOI: 10.1109/ICCAD.2010.5654259
Kentarou Katayama, Shiho Hagiwara, Hiroshi Tsutsui, H. Ochi, Takashi Sato
In this paper, a significant acceleration of estimating low-failure rate in a high-dimensional SRAM yield analysis is achieved using sequential importance sampling. The proposed method systematically, autonomously, and adaptively explores failure region of interest, whereas all previous works needed to resort to brute-force search. Elimination of brute-force search and adaptive trial distribution significantly improves the efficiency of failure-rate estimation of hitherto unsolved high-dimensional cases wherein a lot of variation sources including threshold voltages, channel-length, carrier mobility, etc. are simultaneously considered. The proposed method is applicable to wide range of Monte Carlo simulation analyses dealing with high-dimensional problem of rare events. In SRAM yield estimation example, we achieved 106 times acceleration compared to a standard Monte Carlo simulation for a failure probability of 3 × 10−9 in a six-dimensional problem. The example of 24-dimensional analysis on which other methods are ineffective is also presented.
本文采用顺序重要抽样的方法,实现了高维SRAM成品率分析中低故障率估计的显著加速。该方法系统地、自主地、自适应地探索感兴趣的失效区域,而以往的工作都需要诉诸暴力搜索。消除暴力搜索和自适应试验分布显著提高了迄今未解决的高维情况下的故障率估计效率,其中同时考虑了包括阈值电压、信道长度、载流子迁移率等大量变化源。该方法适用于处理罕见事件高维问题的大范围蒙特卡罗模拟分析。在SRAM良率估计示例中,我们实现了106倍的加速度,与标准蒙特卡罗模拟相比,在六维问题中失效概率为3 × 10−9。最后给出了24维分析的实例,其他方法在该实例上是无效的。
{"title":"Sequential importance sampling for low-probability and high-dimensional SRAM yield analysis","authors":"Kentarou Katayama, Shiho Hagiwara, Hiroshi Tsutsui, H. Ochi, Takashi Sato","doi":"10.1109/ICCAD.2010.5654259","DOIUrl":"https://doi.org/10.1109/ICCAD.2010.5654259","url":null,"abstract":"In this paper, a significant acceleration of estimating low-failure rate in a high-dimensional SRAM yield analysis is achieved using sequential importance sampling. The proposed method systematically, autonomously, and adaptively explores failure region of interest, whereas all previous works needed to resort to brute-force search. Elimination of brute-force search and adaptive trial distribution significantly improves the efficiency of failure-rate estimation of hitherto unsolved high-dimensional cases wherein a lot of variation sources including threshold voltages, channel-length, carrier mobility, etc. are simultaneously considered. The proposed method is applicable to wide range of Monte Carlo simulation analyses dealing with high-dimensional problem of rare events. In SRAM yield estimation example, we achieved 106 times acceleration compared to a standard Monte Carlo simulation for a failure probability of 3 × 10−9 in a six-dimensional problem. The example of 24-dimensional analysis on which other methods are ineffective is also presented.","PeriodicalId":344703,"journal":{"name":"2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":"56 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126222896","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 64
Simultaneous antenna avoidance and via optimization in layer assignment of multi-layer global routing 多层全局路由的同时天线回避和通过优化
Pub Date : 2010-11-07 DOI: 10.1109/ICCAD.2010.5654184
Tsung-Hsien Lee, Ting-Chi Wang
Antenna effect is an important issue that needs to be considered in the routing stage for modern design. In this paper, we study a layer assignment problem that arises during multi-layer global routing and takes antenna avoidance into account. The problem asks to transform a given 2-dimensional global routing result into a 3-dimensional one (i.e., a multi-layer one) and to minimize the amount of antenna violations and the via count subject to given wire congestion constraints. We present an algorithm that tackles the addressed layer assignment problem in a net-by-net manner. An existing dynamic-programming-based single-net layer assignment method that can only consider the via count is judiciously modified and adopted by our algorithm to handle both antenna avoidance and via count minimization for each net. To further reduce the via count but without increasing the amount of antenna violations, a refinement procedure based on min-cost max-flow is developed and added to our algorithm. The experiment results show that when compared with the layer assignment approach adopted by a state-of-the-art academic global router, our algorithm not only can improve the via count slightly but also can significantly reduce the amount of antenna violations.
天线效应是现代设计中布线阶段需要考虑的一个重要问题。本文研究了考虑天线回避的多层全局路由中的层分配问题。该问题要求将给定的二维全局路由结果转换为三维全局路由结果(即多层路由结果),并在给定的线路拥塞约束下最小化天线违例量和通过数。我们提出了一种以逐网方式解决寻址层分配问题的算法。该算法对现有的基于动态规划的单网层分配方法进行了明智的改进,并采用该方法来处理每个网络的天线避免和通道数最小化。为了在不增加天线违规数量的前提下进一步减少通孔数,开发了一种基于最小成本最大流量的改进方法,并将其添加到算法中。实验结果表明,与目前最先进的学术全局路由器采用的层分配方法相比,我们的算法不仅可以略微提高通孔数,而且可以显着减少天线违规数量。
{"title":"Simultaneous antenna avoidance and via optimization in layer assignment of multi-layer global routing","authors":"Tsung-Hsien Lee, Ting-Chi Wang","doi":"10.1109/ICCAD.2010.5654184","DOIUrl":"https://doi.org/10.1109/ICCAD.2010.5654184","url":null,"abstract":"Antenna effect is an important issue that needs to be considered in the routing stage for modern design. In this paper, we study a layer assignment problem that arises during multi-layer global routing and takes antenna avoidance into account. The problem asks to transform a given 2-dimensional global routing result into a 3-dimensional one (i.e., a multi-layer one) and to minimize the amount of antenna violations and the via count subject to given wire congestion constraints. We present an algorithm that tackles the addressed layer assignment problem in a net-by-net manner. An existing dynamic-programming-based single-net layer assignment method that can only consider the via count is judiciously modified and adopted by our algorithm to handle both antenna avoidance and via count minimization for each net. To further reduce the via count but without increasing the amount of antenna violations, a refinement procedure based on min-cost max-flow is developed and added to our algorithm. The experiment results show that when compared with the layer assignment approach adopted by a state-of-the-art academic global router, our algorithm not only can improve the via count slightly but also can significantly reduce the amount of antenna violations.","PeriodicalId":344703,"journal":{"name":"2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)","volume":"19 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127164554","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
期刊
2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1