首页 > 最新文献

32nd Design Automation Conference最新文献

英文 中文
Delay Analysis of the Distributed RC Line 分布式RC线路的时延分析
Pub Date : 1900-01-01 DOI: 10.1145/217474.217557
V. Rao
This paper reviews the step-response of the semi-infinite distributed RC line and focuses mainly on the step-response of a finite-length RC line with a capacitive load termination, which is the most common model for a wire inside the present day integrated CMOS chips. In particular, we obtain the values of some of the common threshold-crossing times at the output of such a line and show that even the simplest first order lumped II-approximation to the finite-length RC line terminated with a capacitive load is good enough for obtaining the 50% and 63.2% threshold-crossing times of the step-response. Higher order lumped approximations are necessary for more accurate predictions of the 10% and 90% threshold-crossing times.
本文综述了半无限分布RC线的阶跃响应,重点研究了带容性负载端接的有限长度RC线的阶跃响应,这是目前集成CMOS芯片中最常见的导线模型。特别是,我们得到了这样一条线的输出端的一些常见阈值跨越时间的值,并表明,即使是对以电容负载结尾的有限长度RC线的最简单的一阶集总ii近似,也足以获得阶跃响应的50%和63.2%的阈值跨越时间。为了更准确地预测10%和90%的阈值交叉时间,需要更高阶的集总近似。
{"title":"Delay Analysis of the Distributed RC Line","authors":"V. Rao","doi":"10.1145/217474.217557","DOIUrl":"https://doi.org/10.1145/217474.217557","url":null,"abstract":"This paper reviews the step-response of the semi-infinite distributed RC line and focuses mainly on the step-response of a finite-length RC line with a capacitive load termination, which is the most common model for a wire inside the present day integrated CMOS chips. In particular, we obtain the values of some of the common threshold-crossing times at the output of such a line and show that even the simplest first order lumped II-approximation to the finite-length RC line terminated with a capacitive load is good enough for obtaining the 50% and 63.2% threshold-crossing times of the step-response. Higher order lumped approximations are necessary for more accurate predictions of the 10% and 90% threshold-crossing times.","PeriodicalId":422297,"journal":{"name":"32nd Design Automation Conference","volume":"43 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121019315","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
Efficient Power Estimation for Highly Correlated Input Streams 高相关输入流的高效功率估计
Pub Date : 1900-01-01 DOI: 10.1145/217474.217601
R. Marculescu, Diana Marculescu, Massoud Pedram
Power estimation in combinational modules is addressed from a probabilistic point of view. The zero-delay hypothesis is considered and under highly correlated input streams, the activities at the primary outputs and all internal nodes are estimated. For the first time, the relationship between logic and probabilistic domains is investigated and two new concepts - conditional independence and isotropy of signals - are brought into attention. Based on them, a sufficient condition for analyzing complex dependencies is given. In the most general case, the conditional independence problem has been shown to be NP-complete and thus appropriate heuristics are presented to estimate switching activity. Detailed experiments demonstrate the accuracy and efficiency of the method. The results reported here are useful in low power design.
从概率的角度研究组合模块的功率估计问题。考虑了零延迟假设,在高度相关的输入流下,估计了主输出和所有内部节点的活动。本文首次研究了逻辑域与概率域之间的关系,并引入了条件无关性和信号各向同性两个新概念。在此基础上,给出了分析复杂依赖关系的充分条件。在大多数情况下,条件独立问题已被证明是np完全的,因此提出了适当的启发式来估计切换活动。详细的实验证明了该方法的准确性和有效性。本文报告的结果在低功耗设计中是有用的。
{"title":"Efficient Power Estimation for Highly Correlated Input Streams","authors":"R. Marculescu, Diana Marculescu, Massoud Pedram","doi":"10.1145/217474.217601","DOIUrl":"https://doi.org/10.1145/217474.217601","url":null,"abstract":"Power estimation in combinational modules is addressed from a probabilistic point of view. The zero-delay hypothesis is considered and under highly correlated input streams, the activities at the primary outputs and all internal nodes are estimated. For the first time, the relationship between logic and probabilistic domains is investigated and two new concepts - conditional independence and isotropy of signals - are brought into attention. Based on them, a sufficient condition for analyzing complex dependencies is given. In the most general case, the conditional independence problem has been shown to be NP-complete and thus appropriate heuristics are presented to estimate switching activity. Detailed experiments demonstrate the accuracy and efficiency of the method. The results reported here are useful in low power design.","PeriodicalId":422297,"journal":{"name":"32nd Design Automation Conference","volume":"43 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121019932","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 106
Equivalence Checking of Datapaths Based on Canonical Arithmetic Expressions 基于正则算术表达式的数据路径等价性检验
Pub Date : 1900-01-01 DOI: 10.1145/217474.217585
Zheng Zhou, W. Burleson
Numerous formal verification systems have been proposed and developed for Finite Sate Machine based control units (notably SMV[19] as well as others). However, most research on the equivalence checking of datapaths is still confined to the bit-level. Formal verification of arithmetic expressions and synthesized datapaths, especially considering finite word-length computation, has not been addressed. Thus formal verification techniques have been prohibited from more extensive applications in numerical and Digital Signal Processing. In this paper a formal system, called Conditional Term Rewriting on Attribute Syntax Trees (ConTRAST) is developed and demonstrated for verifying the equivalence between two differently synthesized datapaths. This result arises from a sophisticated integration of attribute grammars, which provide expressive data structures for syntactic and semantic information about designed datapaths, and term rewriting systems, which transform functionally equivalent datapaths into the same canonical form. The equivalence relation is defined as a congruence closure in the rewriting system, which can be generated from arbitrary axioms, such as associativity, commutativity, etc. in a certain algebraic system. Furthermore, the effect of finite word-lengths and their associated arithmetic precision are also considered in the definition of equivalence classes. As a particular application of ConTRAST, a formal verification system is designed to check equivalence under precision constraints. The results of initial DSP synthesis experiments are displayed, where two differently implemented IIR filters in direct II and cascaded architectures are automatically compared under given precision constraints.
对于基于有限安全机的控制单元(特别是SMV[19]以及其他),已经提出并开发了许多正式的验证系统。然而,大多数关于数据路径等价性检验的研究仍然局限于位级。算术表达式和合成数据路径的形式化验证,特别是考虑到有限字长计算,还没有解决。因此,形式验证技术被禁止在数值和数字信号处理中得到更广泛的应用。本文开发并演示了一个形式化的系统,称为属性语法树上的条件项重写(ConTRAST),用于验证两个不同合成数据路径之间的等价性。这一结果源于属性语法和术语重写系统的复杂集成,属性语法和术语重写系统为设计的数据路径的语法和语义信息提供了表达性的数据结构,术语重写系统将功能等效的数据路径转换为相同的规范形式。等价关系定义为改写系统中的一个同余闭包,它可以由某个代数系统中的任意公理,如结合律、交换律等产生。此外,在等价类的定义中还考虑了有限字长及其算术精度的影响。作为对比的一个特殊应用,设计了一个形式验证系统来检验精度约束下的等价性。显示了初始DSP合成实验的结果,在给定的精度约束下,自动比较了直接II和级联架构下两种不同实现的IIR滤波器。
{"title":"Equivalence Checking of Datapaths Based on Canonical Arithmetic Expressions","authors":"Zheng Zhou, W. Burleson","doi":"10.1145/217474.217585","DOIUrl":"https://doi.org/10.1145/217474.217585","url":null,"abstract":"Numerous formal verification systems have been proposed and developed for Finite Sate Machine based control units (notably SMV[19] as well as others). However, most research on the equivalence checking of datapaths is still confined to the bit-level. Formal verification of arithmetic expressions and synthesized datapaths, especially considering finite word-length computation, has not been addressed. Thus formal verification techniques have been prohibited from more extensive applications in numerical and Digital Signal Processing. In this paper a formal system, called Conditional Term Rewriting on Attribute Syntax Trees (ConTRAST) is developed and demonstrated for verifying the equivalence between two differently synthesized datapaths. This result arises from a sophisticated integration of attribute grammars, which provide expressive data structures for syntactic and semantic information about designed datapaths, and term rewriting systems, which transform functionally equivalent datapaths into the same canonical form. The equivalence relation is defined as a congruence closure in the rewriting system, which can be generated from arbitrary axioms, such as associativity, commutativity, etc. in a certain algebraic system. Furthermore, the effect of finite word-lengths and their associated arithmetic precision are also considered in the definition of equivalence classes. As a particular application of ConTRAST, a formal verification system is designed to check equivalence under precision constraints. The results of initial DSP synthesis experiments are displayed, where two differently implemented IIR filters in direct II and cascaded architectures are automatically compared under given precision constraints.","PeriodicalId":422297,"journal":{"name":"32nd Design Automation Conference","volume":"06 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127222915","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
Direct Performance-Driven Placement of Mismatch-sensitive Analog Circuits 失配敏感模拟电路的直接性能驱动放置
Pub Date : 1900-01-01 DOI: 10.1145/217474.217568
K. Lampaert, G. Gielen, W. Sansen
This paper presents a direct performance-driven placement algorithm for analog integrated circuits. The performance specifications directly drive the layout tools without intermediate parasitic constraints. A simulated-annealing algorithm is used to drive an initial solution to a placement that respects the circuit's performance specifications. During each iteration, the layout-induced performance degradation is calculated from the geometrical properties of the intermediate solution. The placement tool handles symmetry constraints, circuit loading effects and device mismatches. The feasibility of the approach is demonstrated with practical circuit examples.
本文提出了一种直接性能驱动的模拟集成电路布局算法。性能规范直接驱动布局工具,不需要中间的寄生约束。模拟退火算法用于驱动初始解到符合电路性能规格的位置。在每次迭代中,根据中间解的几何特性计算布局引起的性能退化。放置工具处理对称约束、电路加载效果和器件不匹配。通过实际电路实例验证了该方法的可行性。
{"title":"Direct Performance-Driven Placement of Mismatch-sensitive Analog Circuits","authors":"K. Lampaert, G. Gielen, W. Sansen","doi":"10.1145/217474.217568","DOIUrl":"https://doi.org/10.1145/217474.217568","url":null,"abstract":"This paper presents a direct performance-driven placement algorithm for analog integrated circuits. The performance specifications directly drive the layout tools without intermediate parasitic constraints. A simulated-annealing algorithm is used to drive an initial solution to a placement that respects the circuit's performance specifications. During each iteration, the layout-induced performance degradation is calculated from the geometrical properties of the intermediate solution. The placement tool handles symmetry constraints, circuit loading effects and device mismatches. The feasibility of the approach is demonstrated with practical circuit examples.","PeriodicalId":422297,"journal":{"name":"32nd Design Automation Conference","volume":"3 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124864180","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Buffer Insertion and Sizing Under Process Variations for Low Power Clock Distribution 低功耗时钟分布下进程变化下的缓冲器插入和大小
Pub Date : 1900-01-01 DOI: 10.1109/dac.1995.249997
W.-M. Dai Joe G. Xi
Power dissipated in clock distribution is a major source of total system power dissipation. Instead of increasing wire widths or lengths to reduce skew which results in increased power dissipation, we use a balanced buffer insertion scheme to partition a large clock tree into a number of small subtrees. Because asymmetric loads and wire width variations in small subtrees induce very small skew, minimal wire widths are used. This results in minimal wiring capacitance and dynamic power dissipation. Then the buffer sizing problem is formulated as a constrained optimization problem: minimize power subject to tolerable skew constraints. To minimize skew caused by device parameter variations from die to die, PMOS and NMOS devices in buffers are separately sized. Substantial power reduction is achieved while skews are kept at satisfiable values under all process conditions.
时钟分布的功耗是系统总功耗的主要来源。我们没有增加导线宽度或长度来减少导致功耗增加的倾斜,而是使用平衡缓冲区插入方案将大时钟树划分为许多小的子树。由于小子树中的不对称负载和导线宽度变化会导致非常小的倾斜,因此使用最小的导线宽度。这导致最小的布线电容和动态功耗。然后将缓冲区大小问题表述为一个约束优化问题:在可容忍倾斜约束下最小化功率。为了最大限度地减少由器件参数变化引起的偏差,缓冲器中的PMOS和NMOS器件分别大小。在所有工艺条件下,斜度保持在令人满意的值时,实现了大幅度的功率降低。
{"title":"Buffer Insertion and Sizing Under Process Variations for Low Power Clock Distribution","authors":"W.-M. Dai Joe G. Xi","doi":"10.1109/dac.1995.249997","DOIUrl":"https://doi.org/10.1109/dac.1995.249997","url":null,"abstract":"Power dissipated in clock distribution is a major source of total system power dissipation. Instead of increasing wire widths or lengths to reduce skew which results in increased power dissipation, we use a balanced buffer insertion scheme to partition a large clock tree into a number of small subtrees. Because asymmetric loads and wire width variations in small subtrees induce very small skew, minimal wire widths are used. This results in minimal wiring capacitance and dynamic power dissipation. Then the buffer sizing problem is formulated as a constrained optimization problem: minimize power subject to tolerable skew constraints. To minimize skew caused by device parameter variations from die to die, PMOS and NMOS devices in buffers are separately sized. Substantial power reduction is achieved while skews are kept at satisfiable values under all process conditions.","PeriodicalId":422297,"journal":{"name":"32nd Design Automation Conference","volume":"51 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124891445","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
Combining Deterministic and Genetic Approaches for Sequential Circuit Test Generation 结合确定性和遗传方法的顺序电路测试生成
Pub Date : 1900-01-01 DOI: 10.1145/217474.217527
E. Rudnick, J. Patel
A hybrid sequential circuit test generator is described which combines deterministic algorithms for fault excitation and propagation with genetic algorithms for state justification. Deterministic procedures for state justification are used if the genetic approach is unsuccessful, to allow for identification of untestable faults and to improve the fault coverage. High fault coverages were obtained for the ISCAS89 benchmark circuits and several additional circuits, and in many cases the results are better than those for purely deterministic approaches.
介绍了一种混合顺序电路测试发电机,该发电机将故障激励和传播的确定性算法与状态判定的遗传算法相结合。如果遗传方法不成功,则使用状态证明的确定性程序,以允许识别不可测试的故障并提高故障覆盖率。ISCAS89基准电路和几个附加电路获得了高故障覆盖率,在许多情况下,结果优于纯确定性方法。
{"title":"Combining Deterministic and Genetic Approaches for Sequential Circuit Test Generation","authors":"E. Rudnick, J. Patel","doi":"10.1145/217474.217527","DOIUrl":"https://doi.org/10.1145/217474.217527","url":null,"abstract":"A hybrid sequential circuit test generator is described which combines deterministic algorithms for fault excitation and propagation with genetic algorithms for state justification. Deterministic procedures for state justification are used if the genetic approach is unsuccessful, to allow for identification of untestable faults and to improve the fault coverage. High fault coverages were obtained for the ISCAS89 benchmark circuits and several additional circuits, and in many cases the results are better than those for purely deterministic approaches.","PeriodicalId":422297,"journal":{"name":"32nd Design Automation Conference","volume":"162 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125931636","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 57
A Fast and Flexible Performance Simulator for Micro-Architecture Trade-off Analysis on UltraSPARC™ -I 一种用于UltraSPARC&#8482微架构权衡分析的快速灵活性能模拟器-我
Pub Date : 1900-01-01 DOI: 10.1145/217474.217479
M. Tremblay, G. Maturana, A. Inoue, Leslie Kohn
Over one hundred micro-architecture features were analyzed and simulated in order to determine if they should be included in UltraSPARC-I. A fast and flexible performance simulator was developed in order to model these features. In this paper, we describe UPS (UltraSPARC-I Performance Simulator), and show how it was used to do trade-off analysis.
为了确定它们是否应该包含在UltraSPARC-I中,我们分析和模拟了100多个微架构特性。为了模拟这些特征,开发了一个快速灵活的性能模拟器。在本文中,我们描述了UPS (UltraSPARC-I性能模拟器),并展示了如何使用它进行权衡分析。
{"title":"A Fast and Flexible Performance Simulator for Micro-Architecture Trade-off Analysis on UltraSPARC™ -I","authors":"M. Tremblay, G. Maturana, A. Inoue, Leslie Kohn","doi":"10.1145/217474.217479","DOIUrl":"https://doi.org/10.1145/217474.217479","url":null,"abstract":"Over one hundred micro-architecture features were analyzed and simulated in order to determine if they should be included in UltraSPARC-I. A fast and flexible performance simulator was developed in order to model these features. In this paper, we describe UPS (UltraSPARC-I Performance Simulator), and show how it was used to do trade-off analysis.","PeriodicalId":422297,"journal":{"name":"32nd Design Automation Conference","volume":"47 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127015000","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
Multi-Level Logic Minimization based on Multi-Signal Implications 基于多信号含义的多级逻辑最小化
Pub Date : 1900-01-01 DOI: 10.1145/217474.217606
Masayuki Yuguchi, Yuichi Nakamura, K. Wakabayashi, Tomoyuki Fujita
This paper presents a novel method for logic minimization in large-scale multi-level networks. It accomplishes its great reductions on the basis of multi-signal implications and the relationships among these implications. Both are handled on a transitive implication graph, proposed in this paper, which realizes high-speed, high-quality minimization. This proposed method holds great promise for the achievement of an interactive logic design environment for large-scale networks.
提出了一种求解大规模多级网络逻辑最小化问题的新方法。它是在多信号含义和这些含义之间的关系的基础上实现的。本文提出了一个传递蕴涵图来处理这两个问题,实现了高速、高质量的最小化。该方法为实现大规模网络的交互式逻辑设计环境提供了很大的希望。
{"title":"Multi-Level Logic Minimization based on Multi-Signal Implications","authors":"Masayuki Yuguchi, Yuichi Nakamura, K. Wakabayashi, Tomoyuki Fujita","doi":"10.1145/217474.217606","DOIUrl":"https://doi.org/10.1145/217474.217606","url":null,"abstract":"This paper presents a novel method for logic minimization in large-scale multi-level networks. It accomplishes its great reductions on the basis of multi-signal implications and the relationships among these implications. Both are handled on a transitive implication graph, proposed in this paper, which realizes high-speed, high-quality minimization. This proposed method holds great promise for the achievement of an interactive logic design environment for large-scale networks.","PeriodicalId":422297,"journal":{"name":"32nd Design Automation Conference","volume":"103 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122888577","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
DelaY: An Efficient Tool for Retiming with Realistic Delay Modeling 延迟:一个有效的工具,重新计时与现实延迟建模
Pub Date : 1900-01-01 DOI: 10.1145/217474.217546
Kumar N. Lalgudi, M. Papaefthymiou
The retiming transformation can be used to optimize synchronous circuits for maximum speed of operation by relocating their storage elements. In this paper, we describe DelaY, a tool for retiming edge-triggered circuits under a realistic delay model that handles load-dependent gate delays, variable register setup times, interconnect delays, and clock skew. The operation of DelaY relies on a novel linear programming formulation of the retiming problem in this model. For the special case where clock skew is monotonic and all registers have equal propagation delays, the retiming algorithm in our tool runs in polynomial time and can transform any given edge-triggered circuit to achieve a specifi clock period in O(V/sup 3/F) steps, where V is the number of logic gates in the circuit and F is bounded by the number of registers in the circuit.
重定时变换可用于通过重新定位其存储元件来优化同步电路以获得最大的操作速度。在本文中,我们描述了DelaY,这是一个在现实延迟模型下重新定时边缘触发电路的工具,可以处理负载相关的门延迟,可变寄存器设置时间,互连延迟和时钟倾斜。DelaY的运算依赖于该模型中重定时问题的一种新的线性规划公式。对于时钟倾斜单调且所有寄存器具有相等的传播延迟的特殊情况,我们工具中的重定时算法在多项式时间内运行,并且可以变换任何给定的边缘触发电路,以O(V/sup 3/F)步实现特定的时钟周期,其中V是电路中的逻辑门的数量,F由电路中的寄存器的数量限制。
{"title":"DelaY: An Efficient Tool for Retiming with Realistic Delay Modeling","authors":"Kumar N. Lalgudi, M. Papaefthymiou","doi":"10.1145/217474.217546","DOIUrl":"https://doi.org/10.1145/217474.217546","url":null,"abstract":"The retiming transformation can be used to optimize synchronous circuits for maximum speed of operation by relocating their storage elements. In this paper, we describe DelaY, a tool for retiming edge-triggered circuits under a realistic delay model that handles load-dependent gate delays, variable register setup times, interconnect delays, and clock skew. The operation of DelaY relies on a novel linear programming formulation of the retiming problem in this model. For the special case where clock skew is monotonic and all registers have equal propagation delays, the retiming algorithm in our tool runs in polynomial time and can transform any given edge-triggered circuit to achieve a specifi clock period in O(V/sup 3/F) steps, where V is the number of logic gates in the circuit and F is bounded by the number of registers in the circuit.","PeriodicalId":422297,"journal":{"name":"32nd Design Automation Conference","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129413364","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 50
Fast Identification of Robust Dependent Path Delay Faults 鲁棒相关路径延迟故障的快速识别
Pub Date : 1900-01-01 DOI: 10.1145/217474.217517
U. Sparmann, D. Luxenburger, K. Cheng, S. Reddy
Recently, it has been shown in [1] and [2] that in order to verify the correct timing of a manufactured circuit not all of its paths need to be considered for delay testing. In this paper, a theory is developed which puts the work of these papers into a common framework, thus allowing for a better understanding of their relation. In addition, we consider the computational problem of identifying large sets of such not-necessary-to-test paths. Since the approach of [1] can only be applied for small scale circuits, we develop a new algorithm which trades quality of the result against computation time, and allows handling of large circuits with tens of millions of paths. Experimental results show that enormous improvements in running time are only paid for by a small decrease in quality.
最近,文献[1]和[2]表明,为了验证制造电路的正确定时,不需要考虑其所有路径进行延迟测试。在本文中,发展了一个理论,将这些论文的工作纳入一个共同的框架,从而可以更好地理解它们之间的关系。此外,我们还考虑了识别这种不必要的测试路径的大集合的计算问题。由于[1]的方法只能应用于小规模电路,因此我们开发了一种新的算法,该算法将结果的质量与计算时间相权衡,并允许处理具有数千万条路径的大型电路。实验结果表明,运行时间的巨大改进只换来了质量的小幅下降。
{"title":"Fast Identification of Robust Dependent Path Delay Faults","authors":"U. Sparmann, D. Luxenburger, K. Cheng, S. Reddy","doi":"10.1145/217474.217517","DOIUrl":"https://doi.org/10.1145/217474.217517","url":null,"abstract":"Recently, it has been shown in [1] and [2] that in order to verify the correct timing of a manufactured circuit not all of its paths need to be considered for delay testing. In this paper, a theory is developed which puts the work of these papers into a common framework, thus allowing for a better understanding of their relation. In addition, we consider the computational problem of identifying large sets of such not-necessary-to-test paths. Since the approach of [1] can only be applied for small scale circuits, we develop a new algorithm which trades quality of the result against computation time, and allows handling of large circuits with tens of millions of paths. Experimental results show that enormous improvements in running time are only paid for by a small decrease in quality.","PeriodicalId":422297,"journal":{"name":"32nd Design Automation Conference","volume":"78 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1900-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130681320","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 86
期刊
32nd Design Automation Conference
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1