首页 > 最新文献

2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)最新文献

英文 中文
Multicore soft error rate stabilization using adaptive dual modular redundancy 基于自适应双模冗余的多核软误码率稳定
Pub Date : 2010-03-08 DOI: 10.1109/DATE.2010.5457242
Ramakrishna Vadlamani, Jia Zhao, W. Burleson, R. Tessier
The use of dynamic voltage and frequency scaling (DVFS) in contemporary multicores provides significant protection from unpredictable thermal events. A side effect of DVFS can be an increased processor exposure to soft errors. To address this issue, a flexible fault prevention mechanism has been developed to selectively enable a small amount of per-core dual modular redundancy (DMR) in response to increased vulnerability, as measured by the processor architectural vulnerability factor (AVF). Our new algorithm for DMR deployment aims to provide a stable effective soft error rate (SER) by using DMR in response to DVFS caused by thermal events. The algorithm is implemented in real-time on the multicore using a dedicated monitor network-on-chip and controller which evaluates thermal information and multicore performance statistics. Experiments with a multicore simulator using standard benchmarks show an average 6% improvement in overall power consumption and a stable SER by using selective DMR versus continuous DMR deployment.
在当代多核中使用动态电压和频率缩放(DVFS)提供了对不可预测的热事件的重要保护。DVFS的一个副作用是增加了处理器对软错误的暴露。为了解决这个问题,已经开发了一种灵活的故障预防机制,可以选择性地启用少量的每核双模块冗余(DMR)来响应增加的漏洞,如处理器架构漏洞系数(AVF)所测量的那样。我们的DMR部署新算法旨在通过DMR来响应由热事件引起的DVFS,从而提供稳定的有效软错误率(SER)。该算法在多核上实时实现,使用专用的监控片上网络和控制器来评估热信息和多核性能统计。在使用标准基准测试的多核模拟器上进行的实验表明,与使用连续DMR部署相比,使用选择性DMR可以平均提高6%的总功耗和稳定的SER。
{"title":"Multicore soft error rate stabilization using adaptive dual modular redundancy","authors":"Ramakrishna Vadlamani, Jia Zhao, W. Burleson, R. Tessier","doi":"10.1109/DATE.2010.5457242","DOIUrl":"https://doi.org/10.1109/DATE.2010.5457242","url":null,"abstract":"The use of dynamic voltage and frequency scaling (DVFS) in contemporary multicores provides significant protection from unpredictable thermal events. A side effect of DVFS can be an increased processor exposure to soft errors. To address this issue, a flexible fault prevention mechanism has been developed to selectively enable a small amount of per-core dual modular redundancy (DMR) in response to increased vulnerability, as measured by the processor architectural vulnerability factor (AVF). Our new algorithm for DMR deployment aims to provide a stable effective soft error rate (SER) by using DMR in response to DVFS caused by thermal events. The algorithm is implemented in real-time on the multicore using a dedicated monitor network-on-chip and controller which evaluates thermal information and multicore performance statistics. Experiments with a multicore simulator using standard benchmarks show an average 6% improvement in overall power consumption and a stable SER by using selective DMR versus continuous DMR deployment.","PeriodicalId":432902,"journal":{"name":"2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)","volume":"75 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121415710","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 79
Digital statistical analysis using VHDL 数字统计分析使用VHDL
Pub Date : 2010-03-08 DOI: 10.1109/DATE.2010.5456899
Manfred Dietrich, Uwe Eichler, J. Haase
Variations of process parameters have an important impact on reliability and yield in deep sub micron IC technologies. One methodology to estimate the influence of these effects on power and delay times at chip level is Monte Carlo Simulation, which can be very accurate but time consuming if applied to transistor-level models. We present an alternative approach, namely a statistical gate-level simulation flow, based on parameter sensitivities and a generated VHDL cell model. This solution provides a good speed/accuracy tradeoff by using the event-driven digital simulation domain together with an extended consideration of signal slope times directly in the cell model. The designer gets a fast and accurate overview about the statistical behavior of power consumption and timing of the circuit depending on the manufacturing variations. The paper shortly illustrates the general flow from cell characterization to the model structure and presents first simulation results.
在深亚微米集成电路技术中,工艺参数的变化对可靠性和良率有重要影响。估计这些影响对芯片级功率和延迟时间的影响的一种方法是蒙特卡罗模拟,如果应用于晶体管级模型,它可以非常准确,但耗时。我们提出了一种替代方法,即基于参数灵敏度和生成的VHDL细胞模型的统计门级仿真流。该解决方案通过使用事件驱动的数字仿真域以及直接在单元模型中扩展考虑信号斜率时间,提供了良好的速度/精度权衡。设计人员可以根据制造变化快速准确地了解电路功耗和时序的统计行为。本文简要说明了从细胞表征到模型结构的一般流程,并给出了第一个仿真结果。
{"title":"Digital statistical analysis using VHDL","authors":"Manfred Dietrich, Uwe Eichler, J. Haase","doi":"10.1109/DATE.2010.5456899","DOIUrl":"https://doi.org/10.1109/DATE.2010.5456899","url":null,"abstract":"Variations of process parameters have an important impact on reliability and yield in deep sub micron IC technologies. One methodology to estimate the influence of these effects on power and delay times at chip level is Monte Carlo Simulation, which can be very accurate but time consuming if applied to transistor-level models. We present an alternative approach, namely a statistical gate-level simulation flow, based on parameter sensitivities and a generated VHDL cell model. This solution provides a good speed/accuracy tradeoff by using the event-driven digital simulation domain together with an extended consideration of signal slope times directly in the cell model. The designer gets a fast and accurate overview about the statistical behavior of power consumption and timing of the circuit depending on the manufacturing variations. The paper shortly illustrates the general flow from cell characterization to the model structure and presents first simulation results.","PeriodicalId":432902,"journal":{"name":"2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129357566","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Path-based scheduling in a hardware compiler 硬件编译器中基于路径的调度
Pub Date : 2010-03-08 DOI: 10.1109/DATE.2010.5457011
Ruirui Gu, A. Forin, Richard Neil Pittman
Hardware acceleration uses hardware to perform some software functions faster than it is possible on a processor. This paper proposes to optimize hardware acceleration using path-based scheduling algorithms derived from dataflow static scheduling, and from control-flow state machines. These techniques are applied to the MIPS-to-Verilog (M2V) compiler, which translates blocks of MIPS machine code into a hardware design represented in Verilog for reconfigurable platforms. The simulation results demonstrate a factor of 22 in performance improvement for simple self-looped basic blocks over the base compiler.
硬件加速使用硬件以比处理器更快的速度执行某些软件功能。本文提出利用基于路径的调度算法来优化硬件加速,这些算法来源于数据流静态调度和控制流状态机。这些技术应用于MIPS-to-Verilog (M2V)编译器,该编译器将MIPS机器码块转换为Verilog中表示的可重构平台的硬件设计。仿真结果表明,与基本编译器相比,简单的自循环基本块的性能提高了22倍。
{"title":"Path-based scheduling in a hardware compiler","authors":"Ruirui Gu, A. Forin, Richard Neil Pittman","doi":"10.1109/DATE.2010.5457011","DOIUrl":"https://doi.org/10.1109/DATE.2010.5457011","url":null,"abstract":"Hardware acceleration uses hardware to perform some software functions faster than it is possible on a processor. This paper proposes to optimize hardware acceleration using path-based scheduling algorithms derived from dataflow static scheduling, and from control-flow state machines. These techniques are applied to the MIPS-to-Verilog (M2V) compiler, which translates blocks of MIPS machine code into a hardware design represented in Verilog for reconfigurable platforms. The simulation results demonstrate a factor of 22 in performance improvement for simple self-looped basic blocks over the base compiler.","PeriodicalId":432902,"journal":{"name":"2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)","volume":"61 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116976033","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Domain specific architecture for next generation wireless communication 下一代无线通信的领域特定架构
Pub Date : 2010-03-08 DOI: 10.1109/DATE.2010.5457034
Botao Zhang, Hengzhu Liu, Heng Zhao, Fangzheng Mo, Ting Chen
In order to solve the challenges in processor design for the next generation wireless communication systems, this paper first proposes a system level design flow for communication domain specific processor, and then proposes a novel processor architecture for the next generation wireless communication named GAEA using this design flow. GAEA is a shared memory multi-core SoC based on Software Controlled Time Division Multiplexing Bus, with which programmers can easily explore memory-level parallelism of applications by proper instructions and scheduling algorithms. MPE, which is the kernel component of GAEA, adopts hybrid parallel processing scheme to explore instruction-level and data-level parallelism. The pipeline and instruction set of GAEA are also optimized for the next generation wireless communication systems. The evaluation and implementation results show that GAEA architecture is suitable for the next generation wireless communication systems.
为了解决下一代无线通信系统处理器设计中的难题,本文首先提出了通信领域专用处理器的系统级设计流程,然后利用该设计流程提出了一种新的下一代无线通信处理器体系结构GAEA。GAEA是一种基于软件控制时分复用总线的共享内存多核SoC,程序员可以通过适当的指令和调度算法轻松探索应用程序的内存级并行性。MPE是GAEA的核心组件,采用混合并行处理方案探索指令级和数据级并行性。针对下一代无线通信系统,对GAEA的流水线和指令集进行了优化。评估和实现结果表明,GAEA架构适用于下一代无线通信系统。
{"title":"Domain specific architecture for next generation wireless communication","authors":"Botao Zhang, Hengzhu Liu, Heng Zhao, Fangzheng Mo, Ting Chen","doi":"10.1109/DATE.2010.5457034","DOIUrl":"https://doi.org/10.1109/DATE.2010.5457034","url":null,"abstract":"In order to solve the challenges in processor design for the next generation wireless communication systems, this paper first proposes a system level design flow for communication domain specific processor, and then proposes a novel processor architecture for the next generation wireless communication named GAEA using this design flow. GAEA is a shared memory multi-core SoC based on Software Controlled Time Division Multiplexing Bus, with which programmers can easily explore memory-level parallelism of applications by proper instructions and scheduling algorithms. MPE, which is the kernel component of GAEA, adopts hybrid parallel processing scheme to explore instruction-level and data-level parallelism. The pipeline and instruction set of GAEA are also optimized for the next generation wireless communication systems. The evaluation and implementation results show that GAEA architecture is suitable for the next generation wireless communication systems.","PeriodicalId":432902,"journal":{"name":"2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116779808","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
An high voltage CMOS voltage regulator for automotive alternators with programmable functionalities and full reverse polarity capability 一种用于汽车交流发电机的高压CMOS电压调节器,具有可编程功能和完全反极性能力
Pub Date : 2010-03-08 DOI: 10.1109/DATE.2010.5457150
L. Fanucci, G. Pasetti, P. D'Abramo, R. Serventi, F. Tinfena, P. Chassard, L. Labiste, P. Tisserand
This paper presents an innovative and effective approach to design and test a regulator for an automotive alternator with programmable functionalities. The prototype system consists of two different parts: an integrated circuit (IC) and a FPGA. The IC, implemented in austriamicrosystems HVCMOS 0.35 µm technology, includes all the high voltage parts and a power switch with very low ON resistance. It is able to manage full reverse polarity on every pin, including the reverse battery condition, and over voltages up to 50 V. The programmability is guaranteed through the FPGA. This prototype system can be used to develop a new type of intelligent smart and flexible regulators which implement many additional programmable functions that give the car maker a better control and allow to reduce vehicle fuel consumption and CO2 emissions. In the demonstrator all the implemented functions, including regulation, can be changed during the development phase and many properties, including loop stability, can be checked before releasing a final version of the regulator. The proposed system is also included in a standard brush-holder that can be mounted on Valeo Engine and Electrical System mechatronic alternator and verified directly in a real application.
本文提出了一种创新而有效的方法来设计和测试具有可编程功能的汽车交流发电机调节器。原型系统由两个不同的部分组成:集成电路(IC)和FPGA。该集成电路采用奥地利微系统公司的HVCMOS 0.35µm技术,包括所有高压部件和一个极低导通电阻的电源开关。它能够管理每个引脚的完全反向极性,包括反向电池状态,以及高达50 V的过电压。通过FPGA保证可编程性。这个原型系统可以用来开发一种新型的智能、灵活的调节器,它实现了许多额外的可编程功能,为汽车制造商提供了更好的控制,并允许减少汽车的燃料消耗和二氧化碳排放。在演示器中,所有实现的功能(包括调节)都可以在开发阶段更改,并且可以在发布调节器的最终版本之前检查许多属性(包括回路稳定性)。该系统还包含在一个标准的电刷座中,可以安装在法雷奥发动机和电气系统机电交流发电机上,并直接在实际应用中进行验证。
{"title":"An high voltage CMOS voltage regulator for automotive alternators with programmable functionalities and full reverse polarity capability","authors":"L. Fanucci, G. Pasetti, P. D'Abramo, R. Serventi, F. Tinfena, P. Chassard, L. Labiste, P. Tisserand","doi":"10.1109/DATE.2010.5457150","DOIUrl":"https://doi.org/10.1109/DATE.2010.5457150","url":null,"abstract":"This paper presents an innovative and effective approach to design and test a regulator for an automotive alternator with programmable functionalities. The prototype system consists of two different parts: an integrated circuit (IC) and a FPGA. The IC, implemented in austriamicrosystems HVCMOS 0.35 µm technology, includes all the high voltage parts and a power switch with very low ON resistance. It is able to manage full reverse polarity on every pin, including the reverse battery condition, and over voltages up to 50 V. The programmability is guaranteed through the FPGA. This prototype system can be used to develop a new type of intelligent smart and flexible regulators which implement many additional programmable functions that give the car maker a better control and allow to reduce vehicle fuel consumption and CO2 emissions. In the demonstrator all the implemented functions, including regulation, can be changed during the development phase and many properties, including loop stability, can be checked before releasing a final version of the regulator. The proposed system is also included in a standard brush-holder that can be mounted on Valeo Engine and Electrical System mechatronic alternator and verified directly in a real application.","PeriodicalId":432902,"journal":{"name":"2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)","volume":"107 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114254344","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
A power optimization method for CMOS Op-Amps using sub-space based geometric programming 基于子空间几何规划的CMOS运放功率优化方法
Pub Date : 2010-03-08 DOI: 10.1109/DATE.2010.5457151
Wei Gao, R. Hornsey
A new sub-space max-monomial modeling scheme for CMOS transistors in sub-micron technologies is proposed to improve the modeling accuracy. Major electrical parameters of CMOS transistors in each sub-space from the design space are modeled with max-monomials. This approach is demonstrated to have a better accuracy for sub-micron technologies than single-space models. Sub-space modeling based geometric programming power optimization has been successfully applied to three different op-amps in 0.18µm technology. HSPICE simulation results show that sub-space modeling based GP optimization can allow efficient and accurate analog design. Computational effort can be managed to an acceptable level when searching sub-spaces for transistors by using practical constraints. An efficient scheme in dealing with non-convex constraint inherent in Kirchhoff's voltage law is suggested in this paper. By using this scheme, the non-convex constraint, such as posynomial equality, can be relaxed to a convex constraint without affecting the result.
为了提高亚微米技术CMOS晶体管的建模精度,提出了一种新的子空间最大单项建模方案。从设计空间出发,利用极大单项式对各子空间中CMOS晶体管的主要电参数进行建模。这种方法被证明在亚微米技术上比单空间模型具有更好的精度。基于子空间建模的几何规划功率优化已成功应用于三种不同的0.18µm工艺的运放。HSPICE仿真结果表明,基于子空间建模的GP优化可以实现高效、精确的模拟设计。利用实际约束条件,在搜索晶体管子空间时,可以将计算量控制在可接受的水平。本文提出了一种处理基尔霍夫电压律所固有的非凸约束的有效方法。利用该格式,可以在不影响结果的情况下将多项式等式等非凸约束放宽为凸约束。
{"title":"A power optimization method for CMOS Op-Amps using sub-space based geometric programming","authors":"Wei Gao, R. Hornsey","doi":"10.1109/DATE.2010.5457151","DOIUrl":"https://doi.org/10.1109/DATE.2010.5457151","url":null,"abstract":"A new sub-space max-monomial modeling scheme for CMOS transistors in sub-micron technologies is proposed to improve the modeling accuracy. Major electrical parameters of CMOS transistors in each sub-space from the design space are modeled with max-monomials. This approach is demonstrated to have a better accuracy for sub-micron technologies than single-space models. Sub-space modeling based geometric programming power optimization has been successfully applied to three different op-amps in 0.18µm technology. HSPICE simulation results show that sub-space modeling based GP optimization can allow efficient and accurate analog design. Computational effort can be managed to an acceptable level when searching sub-spaces for transistors by using practical constraints. An efficient scheme in dealing with non-convex constraint inherent in Kirchhoff's voltage law is suggested in this paper. By using this scheme, the non-convex constraint, such as posynomial equality, can be relaxed to a convex constraint without affecting the result.","PeriodicalId":432902,"journal":{"name":"2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)","volume":"23 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114494675","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 22
Energy-efficient real-time task scheduling with temperature-dependent leakage 具有温度相关泄漏的节能实时任务调度
Pub Date : 2010-03-08 DOI: 10.1109/DATE.2010.5457243
Chuan-Yue Yang, Jian-Jia Chen, L. Thiele, Tei-Wei Kuo
Leakage power consumption contributes significantly to the overall power dissipation for systems that are manufactured in advanced deep sub-micron technology. Different from many previous results, this paper explores leakageaware energy-efficient scheduling if leakage power consumption depends on temperature. We propose a pattern-based approach which divides a given time horizon into several time segments with the same length, where the processor is in the active (dormant, respectively) mode for a fixed amount of time at the beginning (end, respectively) of each time segment. Computation is advanced in the active mode, whereas the dormant mode helps reduce the temperature via cooling as well as the leakage power consumption. Since the pattern-based approach leads to a steady state with an equilibrium temperature, we develop a procedure to find the optimal pattern whose energy consumption in steady state is the minimum. Compared to existing work, our approach is more effective, has less run-time scheduling overhead, and requires only a simple scheduler to control the system mode periodically. The paper contains extensive simulation results which validate the new models and methods.
泄漏功耗对采用先进深亚微米技术制造的系统的总体功耗有很大影响。与以往的许多研究结果不同,本文研究了泄漏功耗取决于温度的泄漏感知节能调度。我们提出了一种基于模式的方法,该方法将给定的时间范围划分为具有相同长度的几个时间段,其中处理器在每个时间段的开始(结束)分别处于活动(休眠)模式的固定时间。在活动模式下,计算是先进的,而休眠模式有助于通过冷却降低温度以及泄漏功耗。由于基于模式的方法会导致具有平衡温度的稳态,因此我们开发了一个程序来寻找稳态能量消耗最小的最佳模式。与现有的工作相比,我们的方法更有效,运行时调度开销更少,并且只需要一个简单的调度程序来周期性地控制系统模式。本文包含大量的仿真结果,验证了新模型和方法。
{"title":"Energy-efficient real-time task scheduling with temperature-dependent leakage","authors":"Chuan-Yue Yang, Jian-Jia Chen, L. Thiele, Tei-Wei Kuo","doi":"10.1109/DATE.2010.5457243","DOIUrl":"https://doi.org/10.1109/DATE.2010.5457243","url":null,"abstract":"Leakage power consumption contributes significantly to the overall power dissipation for systems that are manufactured in advanced deep sub-micron technology. Different from many previous results, this paper explores leakageaware energy-efficient scheduling if leakage power consumption depends on temperature. We propose a pattern-based approach which divides a given time horizon into several time segments with the same length, where the processor is in the active (dormant, respectively) mode for a fixed amount of time at the beginning (end, respectively) of each time segment. Computation is advanced in the active mode, whereas the dormant mode helps reduce the temperature via cooling as well as the leakage power consumption. Since the pattern-based approach leads to a steady state with an equilibrium temperature, we develop a procedure to find the optimal pattern whose energy consumption in steady state is the minimum. Compared to existing work, our approach is more effective, has less run-time scheduling overhead, and requires only a simple scheduler to control the system mode periodically. The paper contains extensive simulation results which validate the new models and methods.","PeriodicalId":432902,"journal":{"name":"2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)","volume":"65 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114086582","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 57
Skewed pipelining for parallel simulink simulations 用于并行simulink仿真的倾斜管道
Pub Date : 2010-03-08 DOI: 10.1109/DATE.2010.5456927
A. Canedo, T. Yoshizawa, H. Komatsu
Modern automotive and aerospace embedded applications require very high-performance simulations that are able to produce new values every microsecond. Simulations must now rely on scalable performance of multi-core systems rather than faster clock frequencies. Novel parallelization techniques are needed to satisfy the industrial simulation demands that are essential for the development of safety-critical systems. Simulink formalism is the industrial de facto standard, but current state-of-the-art simulation and code generation techniques fail to fully exploit the parallelism in modern multi-core systems. However, closed-loop and dynamic system simulations are very difficult to parallelize because of the loop-carried dependencies. In this paper we introduce a novel skewed pipelining technique that overcomes these difficulties and allows loop-carried Simulink applications to be executed concurrently in multi-core systems. By delaying the forwarding of values for a few iterations, we can break some data dependencies and coarsen the granularity of programs. This improves the concurrency and reduces the high cost of inter-processor communication. Implementation studies to demonstrate the viability of our method on a commodity multi-core system with 2, 3, and 4 processors show a 1.72, 2.38, and 3.33 fold speedup over uniprocessor execution.
现代汽车和航空航天嵌入式应用需要非常高性能的模拟,能够每微秒产生新的值。模拟现在必须依赖于多核系统的可扩展性能,而不是更快的时钟频率。需要新的并行化技术来满足工业仿真的需求,这对安全关键系统的发展至关重要。Simulink的形式化是工业事实上的标准,但目前最先进的仿真和代码生成技术未能充分利用现代多核系统中的并行性。然而,闭环和动态系统仿真由于存在环的依赖性而很难并行化。在本文中,我们介绍了一种新的歪斜管道技术,它克服了这些困难,并允许在多核系统中并发执行带有环路的Simulink应用程序。通过将值的转发延迟几次迭代,我们可以打破一些数据依赖,并使程序的粒度更粗。这提高了并发性,降低了处理器间通信的高成本。为了证明我们的方法在具有2、3和4个处理器的商用多核系统上的可行性而进行的实现研究表明,与单处理器执行相比,我们的方法的速度提高了1.72、2.38和3.33倍。
{"title":"Skewed pipelining for parallel simulink simulations","authors":"A. Canedo, T. Yoshizawa, H. Komatsu","doi":"10.1109/DATE.2010.5456927","DOIUrl":"https://doi.org/10.1109/DATE.2010.5456927","url":null,"abstract":"Modern automotive and aerospace embedded applications require very high-performance simulations that are able to produce new values every microsecond. Simulations must now rely on scalable performance of multi-core systems rather than faster clock frequencies. Novel parallelization techniques are needed to satisfy the industrial simulation demands that are essential for the development of safety-critical systems. Simulink formalism is the industrial de facto standard, but current state-of-the-art simulation and code generation techniques fail to fully exploit the parallelism in modern multi-core systems. However, closed-loop and dynamic system simulations are very difficult to parallelize because of the loop-carried dependencies. In this paper we introduce a novel skewed pipelining technique that overcomes these difficulties and allows loop-carried Simulink applications to be executed concurrently in multi-core systems. By delaying the forwarding of values for a few iterations, we can break some data dependencies and coarsen the granularity of programs. This improves the concurrency and reduces the high cost of inter-processor communication. Implementation studies to demonstrate the viability of our method on a commodity multi-core system with 2, 3, and 4 processors show a 1.72, 2.38, and 3.33 fold speedup over uniprocessor execution.","PeriodicalId":432902,"journal":{"name":"2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)","volume":"21 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114769037","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Low power mobile internet devices using LTE technology 使用LTE技术的低功耗移动互联网设备
Pub Date : 2010-03-08 DOI: 10.1109/DATE.2010.5456942
V. Aue
LTE is the first cellular communications standard that has been designed from the start for delivering internet content to mobile devices. This will enable a whole new set of applications and devices that are currently beyond our imagination. While new devices will easily consume orders of magnitude more data delivered over the cellular communication pipe than their early 2G counter parts, the challenge for low power consumption remains. LTE not only promises higher data rates, it also offers lower power consumption on a per bit basis than any preceding cellular communications standard thus making it ideal for battery powered data hungry devices.
LTE是第一个蜂窝通信标准,从一开始就被设计用于向移动设备传输互联网内容。这将使一系列目前超出我们想象的全新应用和设备成为可能。虽然与早期的2G设备相比,新设备通过蜂窝通信管道传输的数据将很容易消耗更多的数量级,但低功耗的挑战仍然存在。LTE不仅承诺更高的数据速率,它还提供比之前任何蜂窝通信标准更低的每比特功耗,因此使其成为电池供电的数据饥渴设备的理想选择。
{"title":"Low power mobile internet devices using LTE technology","authors":"V. Aue","doi":"10.1109/DATE.2010.5456942","DOIUrl":"https://doi.org/10.1109/DATE.2010.5456942","url":null,"abstract":"LTE is the first cellular communications standard that has been designed from the start for delivering internet content to mobile devices. This will enable a whole new set of applications and devices that are currently beyond our imagination. While new devices will easily consume orders of magnitude more data delivered over the cellular communication pipe than their early 2G counter parts, the challenge for low power consumption remains. LTE not only promises higher data rates, it also offers lower power consumption on a per bit basis than any preceding cellular communications standard thus making it ideal for battery powered data hungry devices.","PeriodicalId":432902,"journal":{"name":"2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)","volume":"12 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125377269","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Post-placement temperature reduction techniques 放置后温度降低技术
Pub Date : 2010-03-08 DOI: 10.1109/DATE.2010.5457127
Wei Liu, A. Nannarelli, A. Calimera, E. Macii, M. Poncino
With technology scaled to deep submicron era, temperature and temperature gradient have emerged as important design criteria. We propose two post-placement techniques to reduce peak temperature by intelligently allocating whitespace in the hotspots. Both methods are fully compliant with commercial technologies, and can be easily integrated with state-of-the-art thermal-aware design flow. Experiments in a set of tests on circuits implemented in STM 65nm technologies show that our methods achieve better peak temperature reduction than directly increasing circuit's area.
随着技术规模进入深亚微米时代,温度和温度梯度已成为重要的设计标准。我们提出了两种后置技术,通过智能分配热点的空白来降低峰值温度。这两种方法都完全符合商业技术,并且可以很容易地与最先进的热感知设计流程集成。在采用STM 65nm技术实现的电路上进行的一系列测试表明,我们的方法比直接增加电路面积实现了更好的峰值温度降低。
{"title":"Post-placement temperature reduction techniques","authors":"Wei Liu, A. Nannarelli, A. Calimera, E. Macii, M. Poncino","doi":"10.1109/DATE.2010.5457127","DOIUrl":"https://doi.org/10.1109/DATE.2010.5457127","url":null,"abstract":"With technology scaled to deep submicron era, temperature and temperature gradient have emerged as important design criteria. We propose two post-placement techniques to reduce peak temperature by intelligently allocating whitespace in the hotspots. Both methods are fully compliant with commercial technologies, and can be easily integrated with state-of-the-art thermal-aware design flow. Experiments in a set of tests on circuits implemented in STM 65nm technologies show that our methods achieve better peak temperature reduction than directly increasing circuit's area.","PeriodicalId":432902,"journal":{"name":"2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)","volume":"319 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122008160","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
期刊
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1