首页 > 最新文献

IEEE Design & Test of Computers最新文献

英文 中文
ICCAD roundtable the many challenges of triple patterning [ICCAD Roundtable] ICCAD圆桌会议:三重模式的诸多挑战[ICCAD圆桌会议]
Pub Date : 2014-01-01 DOI: 10.1109/MDAT.2014.2337471
W. Joyner, J. Kawa, L. Liebmann, D. Pan, Martin D. F. Wong, David Yeh
{"title":"ICCAD roundtable the many challenges of triple patterning [ICCAD Roundtable]","authors":"W. Joyner, J. Kawa, L. Liebmann, D. Pan, Martin D. F. Wong, David Yeh","doi":"10.1109/MDAT.2014.2337471","DOIUrl":"https://doi.org/10.1109/MDAT.2014.2337471","url":null,"abstract":"","PeriodicalId":50392,"journal":{"name":"IEEE Design & Test of Computers","volume":"31 1","pages":"52-58"},"PeriodicalIF":0.0,"publicationDate":"2014-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1109/MDAT.2014.2337471","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62451442","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
“Our Original 3D Idea Still Has To Happen; And It Will” “我们最初的3D想法仍然需要实现;它会的。”
Pub Date : 2013-12-19 DOI: 10.1109/MDAT.2013.2286547
E. Marinissen
Ivo Bolsens is senior vice president (SVP) and chief technology officer (CTO) at Xilinx, a leading supplier of field-programmable gate arrays (FPGAs). At Xilinx, he is responsible for advanced technology development, as well as the company's research laboratories and university program. Design & Test's Erik Jan Marinissen met with Dr. Bolsens in November 2012 during the IEEE International Test Conference in Anaheim, CA,USA, where Bolsens was the opening keynote speaker at the co-located 3D-TEST Workshop . Shortly prior to his keynote talk, this interview took place during lunch at a Disneyland restaurant, covering Bolsens' career from IMEC to Xilinx, current and future FPGAs, the differences between research and company environments, and Xilinx recent 3D-FPGA product.
Ivo Bolsens是赛灵思公司高级副总裁兼首席技术官,该公司是现场可编程门阵列(fpga)的领先供应商。在赛灵思,他负责先进技术的开发,以及公司的研究实验室和大学项目。Design & Test的Erik Jan Marinissen于2012年11月在美国加利福尼亚州阿纳海姆举行的IEEE国际测试会议上会见了Bolsens博士,Bolsens博士是3D-TEST研讨会的开幕主题发言人。在Bolsens发表主题演讲之前,我们在迪士尼乐园的一家餐厅共进午餐,采访了Bolsens从IMEC到Xilinx的职业生涯、当前和未来的fpga、研究和公司环境之间的差异,以及Xilinx最近的3d fpga产品。
{"title":"“Our Original 3D Idea Still Has To Happen; And It Will”","authors":"E. Marinissen","doi":"10.1109/MDAT.2013.2286547","DOIUrl":"https://doi.org/10.1109/MDAT.2013.2286547","url":null,"abstract":"Ivo Bolsens is senior vice president (SVP) and chief technology officer (CTO) at Xilinx, a leading supplier of field-programmable gate arrays (FPGAs). At Xilinx, he is responsible for advanced technology development, as well as the company's research laboratories and university program. Design & Test's Erik Jan Marinissen met with Dr. Bolsens in November 2012 during the IEEE International Test Conference in Anaheim, CA,USA, where Bolsens was the opening keynote speaker at the co-located 3D-TEST Workshop . Shortly prior to his keynote talk, this interview took place during lunch at a Disneyland restaurant, covering Bolsens' career from IMEC to Xilinx, current and future FPGAs, the differences between research and company environments, and Xilinx recent 3D-FPGA product.","PeriodicalId":50392,"journal":{"name":"IEEE Design & Test of Computers","volume":"30 1","pages":"83-88"},"PeriodicalIF":0.0,"publicationDate":"2013-12-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1109/MDAT.2013.2286547","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62451195","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Look at Variability and Aging 变异与衰老的关系
Pub Date : 2013-12-01 DOI: 10.1109/MDAT.2014.2298356
A. Ivanov
h THE NEED FOR more densely packed, faster and more energy-efficient devices has forced significant evolutions in device architectures in recent years. A challenging byproduct of such trends is increased variability of the performance parameters of manufactured devices and a move towards increased electrical stresses imposed on devices during their field use. In turn, increased electrical stress causes further increases in performance variability over time. In shorter form, two major obstacles arise for modern IC designers: variability and aging. The focus of this special issue is to bring to our attention the need for mediating impacts of variability and aging across the many stages of IC and integrated systems design. Developing innovative, yet feasible solutions for these matters are an urgent concern for future computing systems surging forward on the cusp of innovation. This month we bring you a collection of articles that thoroughly examine how the impacts of variability and aging are seen by experts and can be dealt with. To begin this special-themed issue, a paper by Bowman et al. discusses the effects of variability on microprocessor performance through an analysis of error-detection and recovery circuits, among other circuit types and monitors. An article by Wang et al. then provides a detailed look at the variability and reliability of 6T-SRAM memory systems, to show the criticality of variability effects on SRAM in computing systems. In our third article, Gupta and Roy continue the SRAM focus but specifically regard FinFET technology with a cost-benefit analysis through specific device and circuit co-designmethods. Next, Chen et al. shed light on mitigating strategies to offset NBTI effects in current circuit optimizing methods. We follow this with a paper by Stott et al. that looks at the impacts of variability and aging in FPGAs. This article proposes an adaptive system that can reconfigure its own architecture to counter variability and aging effects. A sixth entry by Debashi and Fey presents the capabilities of using Boolean Satisfiability in automating speedpath debugging under timing variations. We have also included in this final issue of 2013, three general interest articles that step away from our detailed look at variability and aging. The first of the three is an examination by Villacorta et al. of a dominant failuremechanism innanometer technology that of open defects in vias. Results of risk and reliability analyses show that new electromigration design rules are needed in light of resistive vias. The following article, provided by Laraba et al. from the TIMA Laboratory in Grenoble, demonstrates a low-cost digital monitoring alternative in reduced code testing. The last featured article is a contribution from Sayil et al. on transient noise effects caused by single event particles. The authors focus on coupling-induced soft-error mechanisms in combinational logic. We conclude this issue with ‘‘The Last Byte’’ by Scott Dav
近年来,对更密集、更快、更节能的设备的需求迫使设备架构发生了重大演变。这种趋势的一个具有挑战性的副产品是制造设备的性能参数的变异性增加,以及在现场使用过程中对设备施加的电气应力增加。反过来,随着时间的推移,增加的电应力导致性能变异性进一步增加。简而言之,现代IC设计师面临两大障碍:可变性和老化。本期特刊的重点是让我们注意到,在IC和集成系统设计的许多阶段,需要调节可变性和老化的影响。为这些问题开发创新的、可行的解决方案是未来的计算系统在创新的尖端突飞猛进的一个迫切关注的问题。本月,我们将为您带来一系列文章,深入探讨专家如何看待变异和衰老的影响,以及如何应对这些影响。为了开始这个专题问题,Bowman等人的一篇论文通过分析错误检测和恢复电路,以及其他电路类型和监视器,讨论了可变性对微处理器性能的影响。Wang等人的一篇文章随后详细介绍了6T-SRAM存储系统的可变性和可靠性,以显示可变性对计算系统中SRAM的影响的重要性。在我们的第三篇文章中,Gupta和Roy继续关注SRAM,但特别关注FinFET技术,并通过特定的器件和电路协同设计方法进行成本效益分析。接下来,Chen等人阐明了在当前电路优化方法中抵消NBTI效应的缓解策略。我们随后发表了一篇由Stott等人撰写的论文,该论文研究了fpga中可变性和老化的影响。本文提出了一种自适应系统,它可以重新配置自己的结构来对抗可变性和老化效应。Debashi和Fey的第六篇文章介绍了在时间变化下使用布尔可满足性自动化高速路径调试的能力。在2013年的最后一期中,我们还收录了三篇一般性的文章,这些文章远离了我们对可变性和衰老的详细研究。其中第一个是Villacorta等人对纳米技术中主要失效机制即通孔中开放缺陷的研究。风险和可靠性分析结果表明,针对电阻式过孔,需要制定新的电迁移设计规则。下面的文章,由格勒诺布尔TIMA实验室的Laraba等人提供,演示了在减少代码测试中的低成本数字监控替代方案。最后一篇专题文章是Sayil等人关于单事件粒子引起的瞬态噪声效应的贡献。作者着重研究了组合逻辑中耦合诱导的软误差机制。我们以斯科特·戴维森的《最后一个字节》作为这期的总结。我们希望你喜欢阅读这一年的最后一期,我们期待在2014年为你带来相关的、发人深省的、翔实的文章。我们已经有一系列令人兴奋的问题值得期待。在此,我谨代表我们所有为《科技报》撰稿的人,祝我们的读者节日快乐,并致以衷心的感谢!致我们所有的作者和审稿人。2014年再见!h
{"title":"A Look at Variability and Aging","authors":"A. Ivanov","doi":"10.1109/MDAT.2014.2298356","DOIUrl":"https://doi.org/10.1109/MDAT.2014.2298356","url":null,"abstract":"h THE NEED FOR more densely packed, faster and more energy-efficient devices has forced significant evolutions in device architectures in recent years. A challenging byproduct of such trends is increased variability of the performance parameters of manufactured devices and a move towards increased electrical stresses imposed on devices during their field use. In turn, increased electrical stress causes further increases in performance variability over time. In shorter form, two major obstacles arise for modern IC designers: variability and aging. The focus of this special issue is to bring to our attention the need for mediating impacts of variability and aging across the many stages of IC and integrated systems design. Developing innovative, yet feasible solutions for these matters are an urgent concern for future computing systems surging forward on the cusp of innovation. This month we bring you a collection of articles that thoroughly examine how the impacts of variability and aging are seen by experts and can be dealt with. To begin this special-themed issue, a paper by Bowman et al. discusses the effects of variability on microprocessor performance through an analysis of error-detection and recovery circuits, among other circuit types and monitors. An article by Wang et al. then provides a detailed look at the variability and reliability of 6T-SRAM memory systems, to show the criticality of variability effects on SRAM in computing systems. In our third article, Gupta and Roy continue the SRAM focus but specifically regard FinFET technology with a cost-benefit analysis through specific device and circuit co-designmethods. Next, Chen et al. shed light on mitigating strategies to offset NBTI effects in current circuit optimizing methods. We follow this with a paper by Stott et al. that looks at the impacts of variability and aging in FPGAs. This article proposes an adaptive system that can reconfigure its own architecture to counter variability and aging effects. A sixth entry by Debashi and Fey presents the capabilities of using Boolean Satisfiability in automating speedpath debugging under timing variations. We have also included in this final issue of 2013, three general interest articles that step away from our detailed look at variability and aging. The first of the three is an examination by Villacorta et al. of a dominant failuremechanism innanometer technology that of open defects in vias. Results of risk and reliability analyses show that new electromigration design rules are needed in light of resistive vias. The following article, provided by Laraba et al. from the TIMA Laboratory in Grenoble, demonstrates a low-cost digital monitoring alternative in reduced code testing. The last featured article is a contribution from Sayil et al. on transient noise effects caused by single event particles. The authors focus on coupling-induced soft-error mechanisms in combinational logic. We conclude this issue with ‘‘The Last Byte’’ by Scott Dav","PeriodicalId":50392,"journal":{"name":"IEEE Design & Test of Computers","volume":"144 1","pages":"4-4"},"PeriodicalIF":0.0,"publicationDate":"2013-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1109/MDAT.2014.2298356","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62451862","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Guest Editors' Introduction: Special Issue on Variability and Aging 特邀编辑导言:变异与衰老特刊
Pub Date : 2013-12-01 DOI: 10.1109/MDAT.2013.2297040
A. Rubio, Antonio González
The articles in this special section focus on new technological innovationsin EDA design. The constant evolution of electronic systems has been fueled by the continuous and tremendous progress of silicon technology manufacturing. Since 1960, when the first MOS transistor was manufactured with dimensions around 50 cm, process technology has been constantly enhancing until the current 22-nm MOS technology. Every two years a new process generation roughly doubles the device density, following what is known as Moore's law. Besides, every new generation offers faster devices that consume less energy by operation. This has put in the hands of architects more powerful and energy-efficient building blocks on top of which they have designed more effective architectures with increasing capabilities. Silicon MOSFETs have been the workhorse devices for information technologies during all these last decades. However, these technology advances have to deal with important challenges coming from physical limitations of the underlying transistors, which are affected by severe manufacturing process parameters variability and aging caused by electrical degradation of materials due to the intense electrical stress during operation.
这个特别部分的文章关注EDA设计中的新技术创新。硅技术制造的持续巨大进步推动了电子系统的不断发展。自1960年第一个尺寸约为50厘米的MOS晶体管制造以来,工艺技术不断提高,直到目前的22纳米MOS技术。根据摩尔定律,每隔两年,新一代工艺就会使器件密度增加一倍左右。此外,每一代新产品都提供了运行速度更快、能耗更低的设备。这使得架构师可以在更强大、更节能的构建块的基础上设计出更有效、功能更强的架构。在过去的几十年里,硅mosfet一直是信息技术的主力器件。然而,这些技术进步必须应对来自底层晶体管的物理限制的重要挑战,这些限制受到严重的制造工艺参数可变性和由于操作过程中强烈的电应力引起的材料电降解引起的老化的影响。
{"title":"Guest Editors' Introduction: Special Issue on Variability and Aging","authors":"A. Rubio, Antonio González","doi":"10.1109/MDAT.2013.2297040","DOIUrl":"https://doi.org/10.1109/MDAT.2013.2297040","url":null,"abstract":"The articles in this special section focus on new technological innovationsin EDA design. The constant evolution of electronic systems has been fueled by the continuous and tremendous progress of silicon technology manufacturing. Since 1960, when the first MOS transistor was manufactured with dimensions around 50 cm, process technology has been constantly enhancing until the current 22-nm MOS technology. Every two years a new process generation roughly doubles the device density, following what is known as Moore's law. Besides, every new generation offers faster devices that consume less energy by operation. This has put in the hands of architects more powerful and energy-efficient building blocks on top of which they have designed more effective architectures with increasing capabilities. Silicon MOSFETs have been the workhorse devices for information technologies during all these last decades. However, these technology advances have to deal with important challenges coming from physical limitations of the underlying transistors, which are affected by severe manufacturing process parameters variability and aging caused by electrical degradation of materials due to the intense electrical stress during operation.","PeriodicalId":50392,"journal":{"name":"IEEE Design & Test of Computers","volume":"30 1","pages":"5-7"},"PeriodicalIF":0.0,"publicationDate":"2013-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1109/MDAT.2013.2297040","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62451852","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Guest Editors' Introduction: Silicon Debug and Diagnosis 客座编辑简介:硅的调试和诊断
Pub Date : 2013-11-08 DOI: 10.1109/MDAT.2013.2279324
N. Nicolici, B. Benware
h TROUBLESHOOTING HOW AND why circuits and systems fail is important and is rapidly growing in industry significance. Debug and diagnosis may be needed for yield improvement, process monitoring, correcting the design function, failure mode learning for research and development, or just getting a working first prototype. This detective work is, however, very tricky. Sources of difficulty include circuit and system complexity, packaging, limited physical access, shortened product creation cycle, and time to market. New and efficient solutions for debug and diagnosis have a much needed and highly visible impact on productivity. This special section of IEEE Design & Test includes the extended versions of the three best contributions presented at the Silicon Debug and Diagnosis (SDD) Workshop, which was held in Anaheim, CA, USA, in November 2012. It was the eighth of a series of highly successful technical workshops that consider issues related to debug and diagnosis of semiconductor circuits and systems: from prototype bring-up to volume production. The first paper, ‘‘Linking the verification and validation of complex integrated circuits through shared coverage metrics’’ by Hung et al., discusses how to bridge pre-implementation (commonly referred to also as ‘‘pre-silicon’’) verification to postimplementation validation in an emulation environment. Considering the inherent flexibility offered by field-programmable gate arrays (FPGAs), the authors discuss howembedded instrumentation can aiddata acquisition and coverage measurement in FPGA designs. The evolution of FPGA trace collection methods is elaborated, showing how recent tools can facilitate a set of predetermined cover points to be observed without requiring recompilation. Further, recent research is aimed at enabling any cover point to be measured in FPGA prototypes. In the second paper, entitled ‘‘Evolution of graphics Northbridge test and debug architectures across four generations of AMD ASICs,’’ Margulis et al., present the evolution of the design for test and debug (commonly referred to as DFx) architectures over four generations of AMD designs. The paper covers different aspects of DFx, ranging from scan architecture to control (centralized, modular, hierarchical) to debug buses (asynchronous/synchronous, source synchronous). The key points are that DFx methodology must be physical-design friendly and account for high clock frequencies, needed to acquire and dump the trace data, as well as be aware of the power savings features, such clock and power gating. In the last paper of this special section, entitled ‘‘Deriving feature fail rate from silicon volume diagnostics data,’’ Malik et al., address the challenge of identifying layout geometries that lead to systematic yield loss. As the subwavelength lithography gap continues to widen, this class of defect is becoming an increasingly dominant source of failures. With design-for-manufacturability (DFM) tools, it is possible to identify pot
排除电路和系统故障的方式和原因非常重要,并且在工业意义上正在迅速增长。调试和诊断可能需要用于良率改进,过程监控,纠正设计功能,研究和开发的故障模式学习,或者只是获得一个工作的第一个原型。然而,这项侦探工作非常棘手。困难的来源包括电路和系统的复杂性、封装、有限的物理访问、缩短的产品创造周期和上市时间。用于调试和诊断的新的高效解决方案对生产力产生了非常需要和非常明显的影响。IEEE设计与测试的这一特殊部分包括2012年11月在美国加利福尼亚州阿纳海姆举行的硅调试与诊断(SDD)研讨会上提出的三个最佳贡献的扩展版本。这是一系列非常成功的技术研讨会中的第八次,这些研讨会讨论了与半导体电路和系统的调试和诊断相关的问题:从原型开发到批量生产。第一篇论文,“通过共享覆盖指标连接复杂集成电路的验证和验证”,由Hung等人撰写,讨论了如何在仿真环境中连接预实现(通常也称为“预硅”)验证和后实现验证。考虑到现场可编程门阵列(FPGA)提供的固有灵活性,作者讨论了嵌入式仪器如何在FPGA设计中帮助数据采集和覆盖测量。详细阐述了FPGA跟踪收集方法的演变,展示了最近的工具如何能够在不需要重新编译的情况下促进一组预定的覆盖点的观察。此外,最近的研究旨在使任何覆盖点能够在FPGA原型中进行测量。在第二篇题为“四代AMD asic的图形北桥测试和调试架构的演变”的论文中,Margulis等人展示了四代AMD设计中测试和调试(通常称为DFx)架构的设计演变。本文涵盖了DFx的不同方面,从扫描架构到控制(集中式,模块化,分层)到调试总线(异步/同步,源同步)。关键的一点是DFx方法必须是物理设计友好的,并考虑到高时钟频率,需要获取和转储跟踪数据,以及意识到节能功能,如时钟和电源门控。在这一特殊章节的最后一篇论文中,题为“从硅体积诊断数据中得出特征故障率”,Malik等人解决了识别导致系统产量损失的布局几何形状的挑战。随着亚波长光刻差距的不断扩大,这类缺陷正日益成为主要的故障来源。使用可制造性设计(DFM)工具,可以识别设计中的潜在弱点,但是评估哪些DFM特性实际上会导致产量损失仍然非常困难。本文的作者提出了一种量化的方法
{"title":"Guest Editors' Introduction: Silicon Debug and Diagnosis","authors":"N. Nicolici, B. Benware","doi":"10.1109/MDAT.2013.2279324","DOIUrl":"https://doi.org/10.1109/MDAT.2013.2279324","url":null,"abstract":"h TROUBLESHOOTING HOW AND why circuits and systems fail is important and is rapidly growing in industry significance. Debug and diagnosis may be needed for yield improvement, process monitoring, correcting the design function, failure mode learning for research and development, or just getting a working first prototype. This detective work is, however, very tricky. Sources of difficulty include circuit and system complexity, packaging, limited physical access, shortened product creation cycle, and time to market. New and efficient solutions for debug and diagnosis have a much needed and highly visible impact on productivity. This special section of IEEE Design & Test includes the extended versions of the three best contributions presented at the Silicon Debug and Diagnosis (SDD) Workshop, which was held in Anaheim, CA, USA, in November 2012. It was the eighth of a series of highly successful technical workshops that consider issues related to debug and diagnosis of semiconductor circuits and systems: from prototype bring-up to volume production. The first paper, ‘‘Linking the verification and validation of complex integrated circuits through shared coverage metrics’’ by Hung et al., discusses how to bridge pre-implementation (commonly referred to also as ‘‘pre-silicon’’) verification to postimplementation validation in an emulation environment. Considering the inherent flexibility offered by field-programmable gate arrays (FPGAs), the authors discuss howembedded instrumentation can aiddata acquisition and coverage measurement in FPGA designs. The evolution of FPGA trace collection methods is elaborated, showing how recent tools can facilitate a set of predetermined cover points to be observed without requiring recompilation. Further, recent research is aimed at enabling any cover point to be measured in FPGA prototypes. In the second paper, entitled ‘‘Evolution of graphics Northbridge test and debug architectures across four generations of AMD ASICs,’’ Margulis et al., present the evolution of the design for test and debug (commonly referred to as DFx) architectures over four generations of AMD designs. The paper covers different aspects of DFx, ranging from scan architecture to control (centralized, modular, hierarchical) to debug buses (asynchronous/synchronous, source synchronous). The key points are that DFx methodology must be physical-design friendly and account for high clock frequencies, needed to acquire and dump the trace data, as well as be aware of the power savings features, such clock and power gating. In the last paper of this special section, entitled ‘‘Deriving feature fail rate from silicon volume diagnostics data,’’ Malik et al., address the challenge of identifying layout geometries that lead to systematic yield loss. As the subwavelength lithography gap continues to widen, this class of defect is becoming an increasingly dominant source of failures. With design-for-manufacturability (DFM) tools, it is possible to identify pot","PeriodicalId":50392,"journal":{"name":"IEEE Design & Test of Computers","volume":"30 1","pages":"6-7"},"PeriodicalIF":0.0,"publicationDate":"2013-11-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1109/MDAT.2013.2279324","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62450976","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
The Most Important DFT Tool 最重要的DFT工具
Pub Date : 2013-11-08 DOI: 10.1109/MDAT.2013.2283589
S. Davidson
h I WENT TO a lot of talks on system test at the recent 2013 International Test Conference. Excellent progress is being made on new standards, some of which are discussed in the special section of this issue of Design & Test. However, there don’t seem to have been many fundamental changes. The quality of system test is still hard to measure. One speaker mentioned tracking quality by collecting the number of field failures. This reminded me of the early days of IC test, when functional test writers measured their coverage this way. This strategy had some big flaws. First, we can seldom collect all field fails, and the ones we do get are usually ‘‘no trouble found,’’ so it is hard to tell if the fail was the result of a test escape or misdiagnosis. But a bigger problem was that the time between test writing and a failure is so long that it is usually too late to either improve the test or learn from it. System test is even worse since the time between a factory test and product installation is even longer than that between IC test and board test, products are spread all over the world, and diagnosis is even harder than for IC fails. For ICs, this problem got solved when we began fault-simulating functional tests. We received an immediate estimate of test quality. Test writers soon found that their tests were nowhere near as good as they imagined. More importantly, management got a single number that was easy to understand. When this value was too far away from 100% the product team was told to improve it. Scan and other forms of DFT became a lot more attractiveVespecially when the alternative was spending long nights improving coverage by hand. This is why I maintain that the fault simulator is the most important DFT tool. Without a faultcoverage number, it would be hard to motivate designers to add DFT, and thus make ATPG and BIST possible. So all we have to do to improve system test is to start to fault simulate it. The need to improve coverage will drive innovations in systemlevel DFT and in automating test generation. It might take 10 or 20 years, but the problem will be solved. ‘‘But wait,’’ I hear the cries, ‘‘there is no fault model for system test. How do we do fault simulation?’’ We did have a fault model, the stuck-at fault, for IC test. But it modeled defects which seldom occurred. Its benefit was to force people to generate a larger number of more diverse patterns, patterns which did detect the defects. ATPG can be considered a weighted random pattern test generation; random because it does not target real defects, and weighted to detect stuck-at faults. If we can simulate a system, we can use software fault-insertion methods to insert many faults. A lot of excellent work has been done using these for electronic test. If we insert too few, we’ll think we are done before covering everything. It will require different ways of speeding up high-level fault simulation, but it can be done. DFT and test automation will follow naturallyVfault s
在最近的2013年国际测试大会上,我参加了很多关于系统测试的讨论。新标准的制定正在取得重大进展,其中一些标准将在本期《设计与测试》的专题部分进行讨论。然而,似乎并没有什么根本性的变化。系统测试的质量仍然难以衡量。一位发言者提到了通过收集现场故障数量来跟踪质量。这让我想起了IC测试的早期,当时功能测试编写者用这种方式测量他们的覆盖率。这一策略存在一些重大缺陷。首先,我们很少能够收集到所有的字段故障,而且我们得到的故障通常是“未发现故障”,因此很难判断故障是测试逃逸还是误诊的结果。但更大的问题是,从编写测试到失败之间的时间太长,以至于改进测试或从中吸取教训通常都太晚了。从工厂测试到产品安装的时间比从IC测试到电路板测试的时间还要长,而且产品遍布世界各地,而且诊断比IC故障还要困难,因此系统测试更糟糕。对于ic,当我们开始进行故障模拟功能测试时,这个问题得到了解决。我们立即收到了测试质量的评估。测试编写者很快发现,他们的测试远不如他们想象的那么好。更重要的是,管理层得到了一个简单易懂的数字。当这个值离100%太远时,产品团队被告知要改进它。扫描和其他形式的DFT变得更有吸引力,尤其是当替代方案是花费漫长的夜晚手工提高覆盖范围时。这就是为什么我认为故障模拟器是最重要的DFT工具。如果没有故障覆盖数,将很难激励设计人员添加DFT,从而使ATPG和BIST成为可能。所以我们要做的就是改进系统测试,开始进行故障模拟。改进覆盖的需要将推动系统级DFT和自动化测试生成的创新。这可能需要10年或20年的时间,但问题将得到解决。“但是等等,”我听到他们的呼喊,“没有系统测试的故障模型。我们如何进行故障模拟?“我们确实有一个故障模型,卡在故障,用于IC测试。但是它模拟了很少发生的缺陷。它的好处是迫使人们生成大量更多样化的模式,这些模式确实可以检测缺陷。ATPG可以看作是一个加权随机模式测试的生成;随机,因为它不针对真正的缺陷,并加权以检测卡住的故障。如果我们可以模拟一个系统,我们可以使用软件故障插入方法来插入许多故障。许多优秀的工作已经完成了使用这些电子测试。如果我们插入的太少,我们会认为在覆盖所有内容之前就完成了。这将需要不同的方法来加速高级故障模拟,但这是可以做到的。DFT和测试自动化自然会随之而来,毕竟故障模拟是最重要的DFT工具。h
{"title":"The Most Important DFT Tool","authors":"S. Davidson","doi":"10.1109/MDAT.2013.2283589","DOIUrl":"https://doi.org/10.1109/MDAT.2013.2283589","url":null,"abstract":"h I WENT TO a lot of talks on system test at the recent 2013 International Test Conference. Excellent progress is being made on new standards, some of which are discussed in the special section of this issue of Design & Test. However, there don’t seem to have been many fundamental changes. The quality of system test is still hard to measure. One speaker mentioned tracking quality by collecting the number of field failures. This reminded me of the early days of IC test, when functional test writers measured their coverage this way. This strategy had some big flaws. First, we can seldom collect all field fails, and the ones we do get are usually ‘‘no trouble found,’’ so it is hard to tell if the fail was the result of a test escape or misdiagnosis. But a bigger problem was that the time between test writing and a failure is so long that it is usually too late to either improve the test or learn from it. System test is even worse since the time between a factory test and product installation is even longer than that between IC test and board test, products are spread all over the world, and diagnosis is even harder than for IC fails. For ICs, this problem got solved when we began fault-simulating functional tests. We received an immediate estimate of test quality. Test writers soon found that their tests were nowhere near as good as they imagined. More importantly, management got a single number that was easy to understand. When this value was too far away from 100% the product team was told to improve it. Scan and other forms of DFT became a lot more attractiveVespecially when the alternative was spending long nights improving coverage by hand. This is why I maintain that the fault simulator is the most important DFT tool. Without a faultcoverage number, it would be hard to motivate designers to add DFT, and thus make ATPG and BIST possible. So all we have to do to improve system test is to start to fault simulate it. The need to improve coverage will drive innovations in systemlevel DFT and in automating test generation. It might take 10 or 20 years, but the problem will be solved. ‘‘But wait,’’ I hear the cries, ‘‘there is no fault model for system test. How do we do fault simulation?’’ We did have a fault model, the stuck-at fault, for IC test. But it modeled defects which seldom occurred. Its benefit was to force people to generate a larger number of more diverse patterns, patterns which did detect the defects. ATPG can be considered a weighted random pattern test generation; random because it does not target real defects, and weighted to detect stuck-at faults. If we can simulate a system, we can use software fault-insertion methods to insert many faults. A lot of excellent work has been done using these for electronic test. If we insert too few, we’ll think we are done before covering everything. It will require different ways of speeding up high-level fault simulation, but it can be done. DFT and test automation will follow naturallyVfault s","PeriodicalId":50392,"journal":{"name":"IEEE Design & Test of Computers","volume":"30 1","pages":"96-96"},"PeriodicalIF":0.0,"publicationDate":"2013-11-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1109/MDAT.2013.2283589","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62451051","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Privacy Through Obscurity 通过模糊实现隐私
Pub Date : 2013-10-01 DOI: 10.1109/MDAT.2013.2283595
S. Davidson
h I RECENTLY READ an editorial in an electronics magazine about license plate readers, devices used by police and government to scan license plates on cars, look them up in a database, and report if the car is stolen or if the owner of the car is wanted by the law. Information about the location of cars whose licenses are read is kept a long time, a potential privacy problem. Even plates of cars not involved in nefarious activities are scanned. Because license plates are displayed in public, it is perfectly legal to record them. This is not the only way in which we are being recorded. In the old days, if the police wanted to find out what happened at a particular location, they had to find witnesses. Today, police can also consult footage from the large number of surveillance cameras in the area. In England, these are owned by the government, but in the United States, there seem to be just as many owned by businesses, not to mention the prevalence of cell phone cameras. In Russia, many cars use dashboard-mounted cameras, and so the recent meteorite event was captured. Even meteorite privacy is not safe. Anyone having the slightest involvement in computer security knows that ‘‘security through obscurity’’ is one of the worst policies to follow. This policy tries to keep security holes secret, and hopes that no one finds out. This might have worked when access to computers was controlled by a small set of professionals, but today even the slightest flaw will be broadcast around the world as fast as a video of a cute kitten. Those of us well out of college grew up in a time of what we can call ‘‘privacy through obscurity.’’ Perhaps people could read your license plate, but unless your car was very suspicious and you were unlucky, it was unlikely that anyone would record it or even notice it. Unless you were famous, no one but friends would take your picture. Politicians and Hollywood stars learned to live with constant exposure and loss of privacy, but at least they were well compensated. One unexpected side effect of work by engineers and computer scientists is that we are all Hollywood stars. But we don’t make the big bucks. Technology has made it possible for our public presence to be recorded and stored. Today, at least a person has to watch the videos to see if you are in themVwork is being done on automating this also. Our privacy through obscurity is no more. Gordon Bell has a project of recording his entire life. Today, we are all Gordon Bell. I’ve often wondered when he’d have time to look at this. However, I can imagine software that could look through streams of video and other information and go right to the moments you want to reliveVor the moments some observer wants to look at more closely. We tell our kids to be careful of their on-line presences, because someone might be watching. Perhaps they are well ahead of us. Someone will always be watching, in real life as well as on-line, and our kids are just getting ready for a world of li
h我最近在一本电子杂志上读到一篇关于车牌阅读器的社论。车牌阅读器是警察和政府用来扫描汽车牌照、在数据库中查找车牌并报告汽车是否被盗或车主是否被通缉的设备。牌照被读取的车辆的位置信息会被长时间保存,这是一个潜在的隐私问题。即使是没有参与不法活动的车牌也会被扫描。因为车牌是在公共场合展示的,所以记录它们是完全合法的。这并不是我们被记录的唯一方式。在过去,如果警察想知道在某个特定地点发生了什么,他们必须找到目击者。今天,警方还可以查阅该地区大量监控摄像头的录像。在英国,这些都归政府所有,但在美国,似乎也有很多归企业所有,更不用说手机摄像头的普及了。在俄罗斯,许多汽车使用安装在仪表盘上的摄像头,因此最近的陨石事件被捕捉到了。即使是陨石的隐私也不安全。任何稍微接触过计算机安全的人都知道,“通过模糊实现安全”是最糟糕的策略之一。这一政策试图将安全漏洞保密,并希望没有人发现。当电脑被一小群专业人士控制时,这可能还管用,但今天,即使是最轻微的缺陷也会像一只可爱小猫的视频一样迅速传遍全世界。我们这些刚从大学毕业的人成长在一个我们可以称之为“通过默默无闻获得隐私”的时代。“也许人们可以读到你的车牌,但除非你的车非常可疑,而且你运气不好,否则不太可能有人会记录下来,甚至注意到它。”除非你很有名,否则除了朋友没人会给你拍照。政客和好莱坞明星学会了忍受不断的曝光和隐私的丧失,但至少他们得到了很好的补偿。工程师和计算机科学家工作的一个意想不到的副作用是,我们都是好莱坞明星。但我们赚不到大钱。科技使我们的公共存在被记录和存储成为可能。今天,至少需要一个人来观看视频,看看你是否在其中。我们也在自动化这项工作。我们通过默默无闻获得的隐私不复存在。戈登·贝尔有一个记录他一生的计划。今天,我们都是戈登·贝尔。我常常在想,他什么时候会有时间来看看这个。然而,我可以想象这样一种软件,它可以通过视频流和其他信息,直接找到你想要重现的时刻,或者某个观察者想要更仔细地观察的时刻。我们告诉我们的孩子要小心他们在网上的表现,因为有人可能会监视他们。也许他们走在我们前面。无论是在现实生活中还是在网上,总有人在监视着我们,而我们的孩子们正准备迎接一个几乎没有隐私的世界。h
{"title":"Privacy Through Obscurity","authors":"S. Davidson","doi":"10.1109/MDAT.2013.2283595","DOIUrl":"https://doi.org/10.1109/MDAT.2013.2283595","url":null,"abstract":"h I RECENTLY READ an editorial in an electronics magazine about license plate readers, devices used by police and government to scan license plates on cars, look them up in a database, and report if the car is stolen or if the owner of the car is wanted by the law. Information about the location of cars whose licenses are read is kept a long time, a potential privacy problem. Even plates of cars not involved in nefarious activities are scanned. Because license plates are displayed in public, it is perfectly legal to record them. This is not the only way in which we are being recorded. In the old days, if the police wanted to find out what happened at a particular location, they had to find witnesses. Today, police can also consult footage from the large number of surveillance cameras in the area. In England, these are owned by the government, but in the United States, there seem to be just as many owned by businesses, not to mention the prevalence of cell phone cameras. In Russia, many cars use dashboard-mounted cameras, and so the recent meteorite event was captured. Even meteorite privacy is not safe. Anyone having the slightest involvement in computer security knows that ‘‘security through obscurity’’ is one of the worst policies to follow. This policy tries to keep security holes secret, and hopes that no one finds out. This might have worked when access to computers was controlled by a small set of professionals, but today even the slightest flaw will be broadcast around the world as fast as a video of a cute kitten. Those of us well out of college grew up in a time of what we can call ‘‘privacy through obscurity.’’ Perhaps people could read your license plate, but unless your car was very suspicious and you were unlucky, it was unlikely that anyone would record it or even notice it. Unless you were famous, no one but friends would take your picture. Politicians and Hollywood stars learned to live with constant exposure and loss of privacy, but at least they were well compensated. One unexpected side effect of work by engineers and computer scientists is that we are all Hollywood stars. But we don’t make the big bucks. Technology has made it possible for our public presence to be recorded and stored. Today, at least a person has to watch the videos to see if you are in themVwork is being done on automating this also. Our privacy through obscurity is no more. Gordon Bell has a project of recording his entire life. Today, we are all Gordon Bell. I’ve often wondered when he’d have time to look at this. However, I can imagine software that could look through streams of video and other information and go right to the moments you want to reliveVor the moments some observer wants to look at more closely. We tell our kids to be careful of their on-line presences, because someone might be watching. Perhaps they are well ahead of us. Someone will always be watching, in real life as well as on-line, and our kids are just getting ready for a world of li","PeriodicalId":50392,"journal":{"name":"IEEE Design & Test of Computers","volume":"30 1","pages":"96-96"},"PeriodicalIF":0.0,"publicationDate":"2013-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1109/MDAT.2013.2283595","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62451094","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A Look at IEEE P1687 Internal JTAG (IJTAG) IEEE P1687内部JTAG (IJTAG)
Pub Date : 2013-10-01 DOI: 10.1109/MDAT.2013.2283590
A. Ivanov
h IN LIGHT OF the recent introduction of IEEE’s P1687, Internal JTAG (IJTAG) standard, the first half of this special issue is dedicated to familiarizing our readers with some of the experiences and drawbacks, implementations and troubleshooting challenges, and other economic and technical implications that the proposed standard raises. Such an evolution from the older established technologies and practices necessitates that we extract from relevant work done by industry professionals and high-profile researchers to help bring our readers up to speed. This is what we hope to have accomplished here. In true Design and Test form, we have also included some variety on the back end of the issue, to round out the P1687 articles with an international vantage on different approaches to addressing faults and defects at different levels of abstraction and integration, including the threats posed by hardware Trojans. We begin our issue on the topic of IJTAG with an article by authors in industry and academia from Texas and California that provide a new perspective on FPGA-based testers in light of IJTAG. They show that for each IC or board design, embedded FPGA testers must be reconfigured, proving difficult for creating standardized observation systems. The authors propose a methodology that effectively allows embedded vectors to automatically be retargeted to the TAP port of the FPGA. Ultimately, they show how to create a standardized Command, Control, and Observation system. Second, we present a paper from the AlcatelLucent Labs in Villarceaux, France, and New Jersey, which outlines some shortcomings in traditional vector-level control of dynamic IJTAG operations. The authors introduce state machine-level control, which forms a more comprehensive solution allowing a greater potential usage of IJTAG. Our next article, compiled by researchers in Tallinn, Estonia, proposes a new instrumentation infrastructure, based on IJTAG, which supports fault management that automatically collects and delivers detection information to operating systems. The authors specifically demonstrate the efficiency of this infrastructure. Next, we receive a breather from the deeply technical side of things, in favor of viewing the effects of the latest IJTAG on the fiscal element of our industry. Martin Keim, from Mentor Graphics, explores three industry scenarios, showing the cost of adopting IJTAG versus the costs of sticking with older, traditional systems. Then we step out of the IJTAG box and begin our latter half of the issue with an analysis of the clustering of defects on silicon wafers. A group of authors from Southeast Asia present a new, flexible three-stage automation tool aimed at improving cluster analysis, while also offering sufficient devicespecific customization to allow the accommodation of a wide variety of product types. Next, researchers from Huazhong University, China, reiterate concerns in the security of highcapacity storage of critical sensitive informat
鉴于最近引入的IEEE P1687,内部JTAG (IJTAG)标准,本特刊的前半部分致力于让读者熟悉一些经验和缺点,实现和故障排除挑战,以及提议的标准所带来的其他经济和技术影响。这种从旧的成熟技术和实践的演变需要我们从行业专业人士和知名研究人员所做的相关工作中提取,以帮助我们的读者跟上速度。这就是我们希望在这里达成的目标。在真正的设计和测试形式中,我们还在该问题的后端包含了一些变化,使P1687文章在解决不同抽象和集成级别的故障和缺陷(包括硬件木马造成的威胁)的不同方法方面具有国际优势。我们以一篇来自德克萨斯州和加利福尼亚州的工业界和学术界的作者的文章开始我们关于IJTAG主题的问题,该文章根据IJTAG提供了基于fpga的测试器的新视角。他们表明,对于每个IC或板设计,嵌入式FPGA测试仪必须重新配置,这证明了创建标准化观察系统的困难。作者提出了一种方法,有效地允许嵌入式向量自动重新定位到FPGA的TAP端口。最后,他们展示了如何创建一个标准化的指挥、控制和观察系统。其次,我们介绍了一篇来自法国Villarceaux和新泽西州AlcatelLucent实验室的论文,其中概述了动态IJTAG操作的传统矢量级控制的一些缺点。作者介绍了状态机级别的控制,它形成了一个更全面的解决方案,允许更大的潜在使用IJTAG。我们的下一篇文章是由爱沙尼亚塔林的研究人员编写的,提出了一种基于IJTAG的新的仪器基础结构,它支持故障管理,可以自动收集并向操作系统提供检测信息。作者特别展示了这种基础设施的效率。接下来,我们将从技术层面的问题中得到喘息的机会,以便了解最新的IJTAG对我们行业财政因素的影响。Mentor Graphics的Martin Keim探讨了三种行业场景,展示了采用IJTAG的成本与坚持使用旧的传统系统的成本。然后我们走出IJTAG盒子,开始我们的问题的后半部分,分析硅晶圆上的缺陷聚类。来自东南亚的一组作者提出了一种新的,灵活的三阶段自动化工具,旨在改进聚类分析,同时还提供足够的设备特定定制,以允许适应各种产品类型。接下来,来自中国华中大学的研究人员重申了对关键敏感信息大容量存储安全性的关注,并通过使用高级加密标准galois / counter模式实现完整性保护和片外存储加密来解决这些问题。这种方法还可以降低内存开销和性能。
{"title":"A Look at IEEE P1687 Internal JTAG (IJTAG)","authors":"A. Ivanov","doi":"10.1109/MDAT.2013.2283590","DOIUrl":"https://doi.org/10.1109/MDAT.2013.2283590","url":null,"abstract":"h IN LIGHT OF the recent introduction of IEEE’s P1687, Internal JTAG (IJTAG) standard, the first half of this special issue is dedicated to familiarizing our readers with some of the experiences and drawbacks, implementations and troubleshooting challenges, and other economic and technical implications that the proposed standard raises. Such an evolution from the older established technologies and practices necessitates that we extract from relevant work done by industry professionals and high-profile researchers to help bring our readers up to speed. This is what we hope to have accomplished here. In true Design and Test form, we have also included some variety on the back end of the issue, to round out the P1687 articles with an international vantage on different approaches to addressing faults and defects at different levels of abstraction and integration, including the threats posed by hardware Trojans. We begin our issue on the topic of IJTAG with an article by authors in industry and academia from Texas and California that provide a new perspective on FPGA-based testers in light of IJTAG. They show that for each IC or board design, embedded FPGA testers must be reconfigured, proving difficult for creating standardized observation systems. The authors propose a methodology that effectively allows embedded vectors to automatically be retargeted to the TAP port of the FPGA. Ultimately, they show how to create a standardized Command, Control, and Observation system. Second, we present a paper from the AlcatelLucent Labs in Villarceaux, France, and New Jersey, which outlines some shortcomings in traditional vector-level control of dynamic IJTAG operations. The authors introduce state machine-level control, which forms a more comprehensive solution allowing a greater potential usage of IJTAG. Our next article, compiled by researchers in Tallinn, Estonia, proposes a new instrumentation infrastructure, based on IJTAG, which supports fault management that automatically collects and delivers detection information to operating systems. The authors specifically demonstrate the efficiency of this infrastructure. Next, we receive a breather from the deeply technical side of things, in favor of viewing the effects of the latest IJTAG on the fiscal element of our industry. Martin Keim, from Mentor Graphics, explores three industry scenarios, showing the cost of adopting IJTAG versus the costs of sticking with older, traditional systems. Then we step out of the IJTAG box and begin our latter half of the issue with an analysis of the clustering of defects on silicon wafers. A group of authors from Southeast Asia present a new, flexible three-stage automation tool aimed at improving cluster analysis, while also offering sufficient devicespecific customization to allow the accommodation of a wide variety of product types. Next, researchers from Huazhong University, China, reiterate concerns in the security of highcapacity storage of critical sensitive informat","PeriodicalId":50392,"journal":{"name":"IEEE Design & Test of Computers","volume":"30 1","pages":"4-5"},"PeriodicalIF":0.0,"publicationDate":"2013-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1109/MDAT.2013.2283590","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62451080","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A look at silicon debug and diagnosis [From the EIC] 硅的调试和诊断[来自EIC]
Pub Date : 2013-08-01 DOI: 10.1109/MDAT.2013.2283588
A. Ivanov
The Editor-in-Cheif is pleased to present this July-August issue, which brings you a combination of articles that cover a rich set of academic and industrial work addressing state-of-the-art issues of design, verification, and test of different IC-based systems represented at different levels of abstraction. The figure of merit for the different approaches varies, depending on the specific case, but are generally variations of cost, performance, quality, yield, and feasibility. An overview of each of the technical articles and features is presented.
主编很高兴为您呈现这一期7 - 8月刊,它为您带来了一系列文章,涵盖了丰富的学术和工业工作,解决了在不同抽象层次上表示的不同基于ic的系统的设计、验证和测试的最新问题。根据具体情况,不同方法的优点有所不同,但通常是成本、性能、质量、产量和可行性的变化。介绍了每篇技术文章和特性的概述。
{"title":"A look at silicon debug and diagnosis [From the EIC]","authors":"A. Ivanov","doi":"10.1109/MDAT.2013.2283588","DOIUrl":"https://doi.org/10.1109/MDAT.2013.2283588","url":null,"abstract":"The Editor-in-Cheif is pleased to present this July-August issue, which brings you a combination of articles that cover a rich set of academic and industrial work addressing state-of-the-art issues of design, verification, and test of different IC-based systems represented at different levels of abstraction. The figure of merit for the different approaches varies, depending on the specific case, but are generally variations of cost, performance, quality, yield, and feasibility. An overview of each of the technical articles and features is presented.","PeriodicalId":50392,"journal":{"name":"IEEE Design & Test of Computers","volume":"15 14 1","pages":"4-5"},"PeriodicalIF":0.0,"publicationDate":"2013-08-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1109/MDAT.2013.2283588","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62451039","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A look at trusted SoC with untrusted components 查看可信SoC与不可信组件
Pub Date : 2013-06-05 DOI: 10.1109/MDAT.2013.2258103
A. Ivanov
{"title":"A look at trusted SoC with untrusted components","authors":"A. Ivanov","doi":"10.1109/MDAT.2013.2258103","DOIUrl":"https://doi.org/10.1109/MDAT.2013.2258103","url":null,"abstract":"","PeriodicalId":50392,"journal":{"name":"IEEE Design & Test of Computers","volume":"30 1","pages":"4-4"},"PeriodicalIF":0.0,"publicationDate":"2013-06-05","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1109/MDAT.2013.2258103","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62450717","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
IEEE Design & Test of Computers
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1