首页 > 最新文献

2010 Silicon Nanoelectronics Workshop最新文献

英文 中文
Low resistive ALD TiN metal gate using TDMAT precursor for high performance MOSFET 使用TDMAT前驱体的低电阻ALD TiN金属栅极用于高性能MOSFET
Pub Date : 2010-06-13 DOI: 10.1109/SNW.2010.5562563
T. Hayashida, K. Endo, Y. Liu, T. Kamei, T. Matsukawa, S. Ouchi, K. Sakamoto, J. Tsukada, Y. Ishikawa, H. Yamauchi, A. Ogura, M. Masahara
We have demonstrated the effect of the resistivity reduction of the ALD-TiN film using TDMAT precursor by modifying the NH3 process (both initial exposure and PDA processes). It was found that the resistivity of the ALD TiN was significantly reduced by extending tNH3 and increasing TPDA by 700°C. Moreover, by employing the NH3 PDA, an increase in TiN peak intensity was detected from Ti 2p by XPS analysis and Ti : N ratio of approximately 1∶1 was achieved. As a result of the evaluation of the electrical characteristics of TiN-gate MOSFETs, superior performance was achieved in the case of ALD TiN.
我们已经证明了使用TDMAT前驱体通过修改NH3工艺(初始曝光和PDA工艺)来降低ALD-TiN薄膜的电阻率的效果。结果表明,延长tNH3和提高TPDA温度700℃,ALD TiN的电阻率显著降低。此外,利用NH3 PDA, XPS分析发现Ti 2p的TiN峰强度增加,Ti: N的比值约为1∶1。通过对TiN栅极mosfet的电学特性进行评估,发现ALD TiN的性能更优。
{"title":"Low resistive ALD TiN metal gate using TDMAT precursor for high performance MOSFET","authors":"T. Hayashida, K. Endo, Y. Liu, T. Kamei, T. Matsukawa, S. Ouchi, K. Sakamoto, J. Tsukada, Y. Ishikawa, H. Yamauchi, A. Ogura, M. Masahara","doi":"10.1109/SNW.2010.5562563","DOIUrl":"https://doi.org/10.1109/SNW.2010.5562563","url":null,"abstract":"We have demonstrated the effect of the resistivity reduction of the ALD-TiN film using TDMAT precursor by modifying the NH3 process (both initial exposure and PDA processes). It was found that the resistivity of the ALD TiN was significantly reduced by extending tNH3 and increasing TPDA by 700°C. Moreover, by employing the NH3 PDA, an increase in TiN peak intensity was detected from Ti 2p by XPS analysis and Ti : N ratio of approximately 1∶1 was achieved. As a result of the evaluation of the electrical characteristics of TiN-gate MOSFETs, superior performance was achieved in the case of ALD TiN.","PeriodicalId":6433,"journal":{"name":"2010 Silicon Nanoelectronics Workshop","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84529602","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Improvement of junction characteristics of ultra shallow junction with boron-cluster implantation and Ni-silicide for nano-scale CMOS technology 纳米级CMOS技术中硼簇注入和硅化镍对超浅结结特性的改善
Pub Date : 2010-06-13 DOI: 10.1109/SNW.2010.5562561
H. Shin, Se-Kyung Oh, Min-Ho Kang, I. Han, H. Kwon, Sang-Uk Park, Byung-Seok Park, J. Bok, Ga-Won Lee, H. Lee
In this study, thermal stability of Ni silicide on boron, BF2, and B18H22 implanted junctions is improved using Ni-Pd(5%) alloy target. The proposed Ni-Pd(5%)/TiN structure enabled the maintenance of low sheet resistance during the RTP and post silicidation annealing than conventional Ni/TiN structure. The improvement of Ni silicide properties is analyzed to be due to the formation of Pd2Si of which peaks were confirmed by XRD data, which indicates the reaction has substantially occurred during the RTP and post silicidation annealing. Moreover, it is also shown that the proposed Ni-Pd(5%)/TiN is efficient in reducing the reverse leakage current as well as improving the thermal stability of ultra shallow junction with B18H22 implantation.
本研究采用Ni- pd(5%)合金靶材,提高了硅化镍在硼、BF2和B18H22植入结上的热稳定性。与传统的Ni/TiN结构相比,所提出的Ni- pd (5%)/TiN结构在RTP和硅化后退火过程中保持了较低的片电阻。分析了Ni硅化物性能的改善是由于Pd2Si的形成,XRD数据证实了Pd2Si的峰,表明反应基本上发生在RTP和硅化后退火过程中。此外,所提出的Ni-Pd(5%)/TiN可以有效地降低B18H22注入的超浅结的反漏电流,并提高其热稳定性。
{"title":"Improvement of junction characteristics of ultra shallow junction with boron-cluster implantation and Ni-silicide for nano-scale CMOS technology","authors":"H. Shin, Se-Kyung Oh, Min-Ho Kang, I. Han, H. Kwon, Sang-Uk Park, Byung-Seok Park, J. Bok, Ga-Won Lee, H. Lee","doi":"10.1109/SNW.2010.5562561","DOIUrl":"https://doi.org/10.1109/SNW.2010.5562561","url":null,"abstract":"In this study, thermal stability of Ni silicide on boron, BF2, and B18H22 implanted junctions is improved using Ni-Pd(5%) alloy target. The proposed Ni-Pd(5%)/TiN structure enabled the maintenance of low sheet resistance during the RTP and post silicidation annealing than conventional Ni/TiN structure. The improvement of Ni silicide properties is analyzed to be due to the formation of Pd2Si of which peaks were confirmed by XRD data, which indicates the reaction has substantially occurred during the RTP and post silicidation annealing. Moreover, it is also shown that the proposed Ni-Pd(5%)/TiN is efficient in reducing the reverse leakage current as well as improving the thermal stability of ultra shallow junction with B18H22 implantation.","PeriodicalId":6433,"journal":{"name":"2010 Silicon Nanoelectronics Workshop","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81150891","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Novel Ni germanide technology with co-sputtering of Ni and Pt for thermally stable Ge MOSFETs on Ge-on-Si substrate 用Ni和Pt共溅射在Ge-on- si衬底上制备热稳定的Ge mosfet的新型锗化镍技术
Pub Date : 2010-06-13 DOI: 10.1109/SNW.2010.5562558
Min-Ho Kang, Se-Kyung Oh, H. Shin, J. Yoo, Ga-Won Lee, Jin-Suk Wang, Jungwoo Oh, P. Majhi, R. Jammy, H. Lee
Co-sputtering of Ni and Pt was proposed for thermal stable Ge MOSFETs on a Ge-on-Si substrate. The thermal stability of Ni germanide was considerably improved compared to the pure Ni germanide by the co-sputtering of Pt along with Ni, because Pt atoms distributed uniformly in the Ni germanide layer, which suppressed the agglomeration of Ni germanide. Therefore, the proposed Ni-Pt co-sputtering method is promising for high performance Ge MOSFET applications.
提出了在Ge-on- si衬底上用Ni和Pt共溅射制备热稳定的Ge mosfet。由于Pt原子均匀分布在锗化Ni层中,抑制了锗化Ni的团聚,因此与纯锗化Ni相比,Pt与Ni共溅射大大提高了锗化Ni的热稳定性。因此,所提出的Ni-Pt共溅射方法有望应用于高性能的Ge MOSFET。
{"title":"Novel Ni germanide technology with co-sputtering of Ni and Pt for thermally stable Ge MOSFETs on Ge-on-Si substrate","authors":"Min-Ho Kang, Se-Kyung Oh, H. Shin, J. Yoo, Ga-Won Lee, Jin-Suk Wang, Jungwoo Oh, P. Majhi, R. Jammy, H. Lee","doi":"10.1109/SNW.2010.5562558","DOIUrl":"https://doi.org/10.1109/SNW.2010.5562558","url":null,"abstract":"Co-sputtering of Ni and Pt was proposed for thermal stable Ge MOSFETs on a Ge-on-Si substrate. The thermal stability of Ni germanide was considerably improved compared to the pure Ni germanide by the co-sputtering of Pt along with Ni, because Pt atoms distributed uniformly in the Ni germanide layer, which suppressed the agglomeration of Ni germanide. Therefore, the proposed Ni-Pt co-sputtering method is promising for high performance Ge MOSFET applications.","PeriodicalId":6433,"journal":{"name":"2010 Silicon Nanoelectronics Workshop","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76519347","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Device characteristics of double-gate MOSFETs with Si-dielectric interface model from first principle calculations 基于第一性原理计算的硅介电界面模型双栅mosfet器件特性
Pub Date : 2010-06-13 DOI: 10.1109/SNW.2010.5562571
Yongjin Park, K. Kong, Hyunju Chang, M. Shin
The first principle calculations based on density functional theory were performed to determine the band gap profiles and dielectric constants along the Si-Dielectric interface of CMOS device. The band gap changes almost linearly between Si and SiO2 interfaces with transition depth of 5 Å. The calculated dielectric constants change almost abruptly at Si/SiO2 interface. Thus-obtained band gap profile and dielectric constants were used in electron transport simulation of ultra-thin-body n-type double-gate MOSFETs. The self-consistent potential profile in the channel and gate leakage current were calculated accurately using the non-equilibrium Green's function approach. The effect of the band gap transition across the Si/SiO2 interface on the device performance is investigated.
基于密度泛函理论进行了第一性原理计算,确定了CMOS器件si -介电界面的带隙分布和介电常数。当过渡深度为5 Å时,Si和SiO2界面间带隙几乎呈线性变化。计算得到的介电常数在Si/SiO2界面处变化几乎是突然的。将所得的带隙分布和介电常数用于超薄体n型双栅mosfet的电子输运模拟。采用非平衡格林函数法精确计算了通道内自洽电位分布和栅极漏电流。研究了Si/SiO2界面上的带隙跃迁对器件性能的影响。
{"title":"Device characteristics of double-gate MOSFETs with Si-dielectric interface model from first principle calculations","authors":"Yongjin Park, K. Kong, Hyunju Chang, M. Shin","doi":"10.1109/SNW.2010.5562571","DOIUrl":"https://doi.org/10.1109/SNW.2010.5562571","url":null,"abstract":"The first principle calculations based on density functional theory were performed to determine the band gap profiles and dielectric constants along the Si-Dielectric interface of CMOS device. The band gap changes almost linearly between Si and SiO2 interfaces with transition depth of 5 Å. The calculated dielectric constants change almost abruptly at Si/SiO2 interface. Thus-obtained band gap profile and dielectric constants were used in electron transport simulation of ultra-thin-body n-type double-gate MOSFETs. The self-consistent potential profile in the channel and gate leakage current were calculated accurately using the non-equilibrium Green's function approach. The effect of the band gap transition across the Si/SiO2 interface on the device performance is investigated.","PeriodicalId":6433,"journal":{"name":"2010 Silicon Nanoelectronics Workshop","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82640826","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Precise thickness control of NiSi by nitrogen ion-implantation for multi-gate strained Si channel metal S/D MOSFETs 氮离子注入对多栅应变Si沟道金属S/D mosfet NiSi的精确厚度控制
Pub Date : 2010-06-13 DOI: 10.1109/SNW.2010.5562593
K. Ikeda, Y. Kamimuta, N. Taoka, Y. Moriyama, M. Oda, T. Tezuka
SBH and Tsilicide reduction is found to be beneficial for improving the current drive of a metal S/D FinFET especially for a SBH higher than around 0.2 eV by the simulation. The precise control of NiSi thickness with keeping smooth interface was demonstrated by the nitrogen pre-implanted silicidation technique adopted for the strained-Si channel tri-gated MOSFETs having dopant-segregated NiSi contacts. The MOSFETs exhibited lower leakage current and on-resistance than those fabricated without using this technique due to the suppression of the encroachment defects and over silicidation. The present results suggest that this technique can be a solution of silicide formation for multi-gate MOSFET.
通过仿真发现,对于大于0.2 eV的SBH和硅化物还原有利于提高金属S/D FinFET的电流驱动能力。采用氮预注入硅化技术对具有掺杂分离的NiSi触点的应变硅沟道三门控mosfet进行了精确控制,证明了在保持界面光滑的情况下NiSi厚度的精确控制。由于抑制了侵蚀缺陷和过度硅化,所制得的mosfet具有较低的漏电流和导通电阻。目前的结果表明,该技术可以解决多栅极MOSFET的硅化物形成问题。
{"title":"Precise thickness control of NiSi by nitrogen ion-implantation for multi-gate strained Si channel metal S/D MOSFETs","authors":"K. Ikeda, Y. Kamimuta, N. Taoka, Y. Moriyama, M. Oda, T. Tezuka","doi":"10.1109/SNW.2010.5562593","DOIUrl":"https://doi.org/10.1109/SNW.2010.5562593","url":null,"abstract":"SBH and Tsilicide reduction is found to be beneficial for improving the current drive of a metal S/D FinFET especially for a SBH higher than around 0.2 eV by the simulation. The precise control of NiSi thickness with keeping smooth interface was demonstrated by the nitrogen pre-implanted silicidation technique adopted for the strained-Si channel tri-gated MOSFETs having dopant-segregated NiSi contacts. The MOSFETs exhibited lower leakage current and on-resistance than those fabricated without using this technique due to the suppression of the encroachment defects and over silicidation. The present results suggest that this technique can be a solution of silicide formation for multi-gate MOSFET.","PeriodicalId":6433,"journal":{"name":"2010 Silicon Nanoelectronics Workshop","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84900975","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Electrical characteristic fluctuation and suppression in emerging CMOS device and circuit 新兴CMOS器件和电路的电特性波动与抑制
Pub Date : 2010-06-13 DOI: 10.1109/SNW.2010.5562560
Hui-Wen Cheng, Ming-Hung Han, Yiming Li, Kuo-Fu Lee, C. Yiu, Thet-Thet Khaing
We study the characteristic variability in high-к metal-gate CMOS device and circuit induced by various intrinsic fluctuation sources. Using an experimentally calibrated 3D device-and-circuit coupled simulation; we estimate the effect of metal-gate work-function fluctuation, oxide-thickness fluctuation, process-variation effect, and random-dopant fluctuation on device DC/AC characteristics. We then predict their impacts on transfer and dynamic properties of digital and analog circuits. Finally, variability suppression techniques are demonstrated from device engineering viewpoints.
本文研究了各种本禀波动源对高阻金属栅CMOS器件和电路特性的影响。采用实验校准的三维器件与电路耦合仿真;我们估计了金属栅功函数波动、氧化物厚度波动、工艺变化效应和随机掺杂波动对器件DC/AC特性的影响。然后我们预测了它们对数字和模拟电路的传输和动态特性的影响。最后,从器件工程的角度演示了变异性抑制技术。
{"title":"Electrical characteristic fluctuation and suppression in emerging CMOS device and circuit","authors":"Hui-Wen Cheng, Ming-Hung Han, Yiming Li, Kuo-Fu Lee, C. Yiu, Thet-Thet Khaing","doi":"10.1109/SNW.2010.5562560","DOIUrl":"https://doi.org/10.1109/SNW.2010.5562560","url":null,"abstract":"We study the characteristic variability in high-к metal-gate CMOS device and circuit induced by various intrinsic fluctuation sources. Using an experimentally calibrated 3D device-and-circuit coupled simulation; we estimate the effect of metal-gate work-function fluctuation, oxide-thickness fluctuation, process-variation effect, and random-dopant fluctuation on device DC/AC characteristics. We then predict their impacts on transfer and dynamic properties of digital and analog circuits. Finally, variability suppression techniques are demonstrated from device engineering viewpoints.","PeriodicalId":6433,"journal":{"name":"2010 Silicon Nanoelectronics Workshop","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79410818","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Statistic characteristics of “current-onset voltage” in scaled MOSFETs analyzed by 8k DMA TEG 用8k DMA TEG分析缩放mosfet中“电流起始电压”的统计特性
Pub Date : 2010-06-13 DOI: 10.1109/SNW.2010.5562557
T. Mizutani, Ashok Kumar, T. Tsunomura, A. Nishida, K. Takeuchi, S. Inaba, S. Kamohara, K. Terada, T. Hiramoto
We report statistic characteristics of a newly found variability component: “current-onset voltage” [1]. It is found that the “current-onset voltage” is hardly correlated with any other parameters such as threshold voltage VTH, transconductance Gm and DIBL. These results indicate that the “current-onset voltage” variability is quite a new type of variation that has never been considered before.
我们报告了一个新发现的可变性成分的统计特征:“电流起始电压”[1]。发现“电流起始电压”与阈值电压VTH、跨导Gm和DIBL等参数几乎没有相关性。这些结果表明,“电流起始电压”变异性是一种以前从未考虑过的新型变异性。
{"title":"Statistic characteristics of “current-onset voltage” in scaled MOSFETs analyzed by 8k DMA TEG","authors":"T. Mizutani, Ashok Kumar, T. Tsunomura, A. Nishida, K. Takeuchi, S. Inaba, S. Kamohara, K. Terada, T. Hiramoto","doi":"10.1109/SNW.2010.5562557","DOIUrl":"https://doi.org/10.1109/SNW.2010.5562557","url":null,"abstract":"We report statistic characteristics of a newly found variability component: “current-onset voltage” [1]. It is found that the “current-onset voltage” is hardly correlated with any other parameters such as threshold voltage V<inf>TH</inf>, transconductance G<inf>m</inf> and DIBL. These results indicate that the “current-onset voltage” variability is quite a new type of variation that has never been considered before.","PeriodicalId":6433,"journal":{"name":"2010 Silicon Nanoelectronics Workshop","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90107282","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Single-dopant memory effect in P-doped Si SOI-MOSFETs p掺杂Si soi - mosfet的单掺杂记忆效应
Pub Date : 2010-06-13 DOI: 10.1109/SNW.2010.5562582
E. Hamid, Juli Cha Tarido, Sakito Miki, T. Mizuno, D. Moraru, M. Tabe
We investigated single electron charging in few-dopant systems by experiment and simulation. Our simulation results are in good agreement with experimental results. This provides a strong support for understanding the physics of single electron charging in few-dopant systems for future single dopant memory device design rules.
通过实验和模拟研究了低掺杂体系中单电子的充电。仿真结果与实验结果吻合较好。这为理解少掺杂系统中单电子充电的物理特性,为未来的单掺杂存储器件设计规则提供了强有力的支持。
{"title":"Single-dopant memory effect in P-doped Si SOI-MOSFETs","authors":"E. Hamid, Juli Cha Tarido, Sakito Miki, T. Mizuno, D. Moraru, M. Tabe","doi":"10.1109/SNW.2010.5562582","DOIUrl":"https://doi.org/10.1109/SNW.2010.5562582","url":null,"abstract":"We investigated single electron charging in few-dopant systems by experiment and simulation. Our simulation results are in good agreement with experimental results. This provides a strong support for understanding the physics of single electron charging in few-dopant systems for future single dopant memory device design rules.","PeriodicalId":6433,"journal":{"name":"2010 Silicon Nanoelectronics Workshop","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78874015","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Intrinsic parameter fluctuations on current mirror circuit with different aspect ratio of 16-nm multi-gate MOSFET 16nm多栅MOSFET不同宽高比电流镜像电路的本征参数波动
Pub Date : 2010-06-13 DOI: 10.1109/SNW.2010.5562570
Hui-Wen Cheng, C. Yiu, Thet-Thet Khaing, Yiming Li
In this study, we examine the dependency of current mirror circuit characteristics on channel-fin aspect-ratio (AR = fin height / the fin width) of 16-nm multi-gate MOSFET and device's intrinsic parameter fluctuation including metal-gate work-function fluctuation (WKF), random-dopant fluctuation (RDF), process-variation effect (PVE), and oxide-thickness fluctuation (OTF). For n- and p-type current mirror circuits, the fluctuations dominated by RDF and WKF, respectively, could be suppressed by high AR of devices due to improved driving current. For n- and p-type current mirror circuits, IOUT fluctuation dominated by RDF and WKF in FinFET (AR = 2) is 2.8 and 2.5 times smaller than that of quasi-planar (AR = 0.5) device, respectively.
在这项研究中,我们研究了电流反射电路特性与16纳米多栅极MOSFET的通道-鳍长比(AR =鳍高/鳍宽)的关系,以及器件的固有参数波动,包括金属栅功函数波动(WKF)、随机掺杂波动(RDF)、工艺变化效应(PVE)和氧化物厚度波动(OTF)。对于n型和p型电流镜像电路,由于驱动电流的提高,器件的高AR可以抑制由RDF和WKF分别主导的波动。对于n型和p型电流镜像电路,FinFET (AR = 2)中以RDF和WKF为主的IOUT波动分别比准平面(AR = 0.5)器件小2.8倍和2.5倍。
{"title":"Intrinsic parameter fluctuations on current mirror circuit with different aspect ratio of 16-nm multi-gate MOSFET","authors":"Hui-Wen Cheng, C. Yiu, Thet-Thet Khaing, Yiming Li","doi":"10.1109/SNW.2010.5562570","DOIUrl":"https://doi.org/10.1109/SNW.2010.5562570","url":null,"abstract":"In this study, we examine the dependency of current mirror circuit characteristics on channel-fin aspect-ratio (AR = fin height / the fin width) of 16-nm multi-gate MOSFET and device's intrinsic parameter fluctuation including metal-gate work-function fluctuation (WKF), random-dopant fluctuation (RDF), process-variation effect (PVE), and oxide-thickness fluctuation (OTF). For n- and p-type current mirror circuits, the fluctuations dominated by RDF and WKF, respectively, could be suppressed by high AR of devices due to improved driving current. For n- and p-type current mirror circuits, IOUT fluctuation dominated by RDF and WKF in FinFET (AR = 2) is 2.8 and 2.5 times smaller than that of quasi-planar (AR = 0.5) device, respectively.","PeriodicalId":6433,"journal":{"name":"2010 Silicon Nanoelectronics Workshop","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77270097","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Extreme ultra-violate exposure induced damages on non-volatile memories 极端紫外线暴露对非易失性记忆造成损伤
Pub Date : 2010-06-13 DOI: 10.1109/SNW.2010.5562552
B. Tsui, Chih-Chan Yen, Po-Hsueh Li, Chi-Pei Lu, Jui-Yao Lai
The impact of extreme ultra-violate (EUV) exposure induced damages on the characteristics of Si-Oxide-Nitride-Oxide-Si (SONOS) memory and nano-crystal (NC) memory are investigated. In SONOS memory, the erase speed slows down and the endurance degrades severely due to the EUV induced deep-level traps in the dielectric stack and can not recover after 600°C annealing. The NC memory exhibits much better EUV radiation tolerance than the SONOS memory. This work suggests that the EUV lithography could be a potential solution for advanced NC memories without reliability issue.
研究了极紫外(EUV)辐照对si - oxide -氮化物- oxide - si (SONOS)存储器和纳米晶体(NC)存储器特性的影响。在SONOS存储器中,由于EUV引起的介电层中的深能级陷阱,擦除速度减慢,续航力严重下降,并且在600℃退火后无法恢复。NC存储器比SONOS存储器具有更好的EUV辐射耐受性。这项工作表明,极紫外光刻技术可能是一种没有可靠性问题的先进NC存储器的潜在解决方案。
{"title":"Extreme ultra-violate exposure induced damages on non-volatile memories","authors":"B. Tsui, Chih-Chan Yen, Po-Hsueh Li, Chi-Pei Lu, Jui-Yao Lai","doi":"10.1109/SNW.2010.5562552","DOIUrl":"https://doi.org/10.1109/SNW.2010.5562552","url":null,"abstract":"The impact of extreme ultra-violate (EUV) exposure induced damages on the characteristics of Si-Oxide-Nitride-Oxide-Si (SONOS) memory and nano-crystal (NC) memory are investigated. In SONOS memory, the erase speed slows down and the endurance degrades severely due to the EUV induced deep-level traps in the dielectric stack and can not recover after 600°C annealing. The NC memory exhibits much better EUV radiation tolerance than the SONOS memory. This work suggests that the EUV lithography could be a potential solution for advanced NC memories without reliability issue.","PeriodicalId":6433,"journal":{"name":"2010 Silicon Nanoelectronics Workshop","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-06-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87010871","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
2010 Silicon Nanoelectronics Workshop
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1