首页 > 最新文献

Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)最新文献

英文 中文
HotSpot cache: joint temporal and spatial locality exploitation for I-cache energy reduction 热点缓存:减少I-cache能量的时空局部性联合开发
Chia-Lin Yang, Chien-Hao Lee
Power consumption is an important design issue of current embedded systems. It has been shown that the instruction cache accounts for a significant portion of the power dissipation of the whole chip. Several studies propose to add a cache (L0 cache) that is very small relative to the conventional L1 cache on chip for power optimization since a smaller cache has lower load capacitance. However, energy savings often come at the cost of performance degradation. In this paper, we propose a novel instruction cache architecture, the HotSpot cache, that achieves energy savings without sacrificing performance. The HotSpot cache identifies frequently accessed instructions dynamically and stores them in the L0 cache. Other instructions are placed only in the L1 cache. A steering mechanism is employed to direct an instruction to its allocated cache in the instruction fetch stage. The simulation results show that the HotSpot cache can achieve 52% instruction cache energy reduction on the average for a set of multimedia applications without performance degradation.
功耗是当前嵌入式系统设计中的一个重要问题。研究表明,指令缓存占整个芯片功耗的很大一部分。一些研究建议在芯片上添加一个相对于传统L1缓存非常小的缓存(L0缓存)来进行功率优化,因为较小的缓存具有较低的负载电容。然而,节能往往是以性能下降为代价的。在本文中,我们提出了一种新的指令缓存架构,即热点缓存,它在不牺牲性能的情况下实现了节能。HotSpot缓存动态识别访问频繁的指令,并将其存储在L0缓存中。其他指令只放在L1缓存中。在指令获取阶段,使用转向机制将指令定向到其分配的缓存。仿真结果表明,在不降低性能的情况下,HotSpot缓存可以在一组多媒体应用中平均减少52%的指令缓存能量。
{"title":"HotSpot cache: joint temporal and spatial locality exploitation for I-cache energy reduction","authors":"Chia-Lin Yang, Chien-Hao Lee","doi":"10.1145/1013235.1013270","DOIUrl":"https://doi.org/10.1145/1013235.1013270","url":null,"abstract":"Power consumption is an important design issue of current embedded systems. It has been shown that the instruction cache accounts for a significant portion of the power dissipation of the whole chip. Several studies propose to add a cache (L0 cache) that is very small relative to the conventional L1 cache on chip for power optimization since a smaller cache has lower load capacitance. However, energy savings often come at the cost of performance degradation. In this paper, we propose a novel instruction cache architecture, the HotSpot cache, that achieves energy savings without sacrificing performance. The HotSpot cache identifies frequently accessed instructions dynamically and stores them in the L0 cache. Other instructions are placed only in the L1 cache. A steering mechanism is employed to direct an instruction to its allocated cache in the instruction fetch stage. The simulation results show that the HotSpot cache can achieve 52% instruction cache energy reduction on the average for a set of multimedia applications without performance degradation.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127673419","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 46
The impact of variability on power 可变性对功率的影响
S. Nassif
Summary form only given. The integrated circuit manufacturing process has inevitable imperfections and fluctuations that result in ever-growing systematic and random variations in the electrical parameters of active and passive devices fabricated. The impact of such variations on various aspects of chip performance has been the subject of numerous papers, and techniques for analyzing and dealing with such variability, broadly labelled design for manufacturability, are emerging as the next hot topic in this area. The focus of much of the current work in this area has been on timing, but it is well known that modern integrated circuits are very heavily power limited and that static and dynamic power have emerged as first class design objectives. In this paper, we review the various sources of process variability, and relate them to variability in the various parts of the power delivery subsystem. Specifically, we address variability in the following areas: 1. static (leakage) power; 2. dynamic power; 3. on-chip power grid; 4. on-chip decoupling capacitance; 5. package power grid; 6. workload. It is important to model all these sources of variability with the correct balance of effort and accuracy, thus it is important to get broad bounds on each of the sources in order to insure that the appropriate level of modeling and analysis investment is made in order to bound or worst-case each component without undue pessimism. It is also important to have a first order understanding of the technology trends in each of these sources of variability. This will allow the designer and CAD tool developer to anticipate future problem areas and plan work arounds as needed.
只提供摘要形式。集成电路制造过程中存在不可避免的缺陷和波动,导致所制造的有源和无源器件的电气参数不断增长的系统和随机变化。这种变化对芯片性能各个方面的影响已经成为许多论文的主题,分析和处理这种变化的技术,广泛地称为可制造性设计,正在成为该领域的下一个热门话题。目前该领域的大部分工作都集中在时序上,但众所周知,现代集成电路的功率非常有限,静态和动态功率已成为首要的设计目标。在本文中,我们回顾了过程可变性的各种来源,并将它们与电力输送子系统各部分的可变性联系起来。具体地说,我们在以下方面解决可变性:1。静(漏)电;2. 动态能力;3.片上电网;4. 片上去耦电容;5. 成套电网;6. 工作负载。重要的是对所有这些可变性来源进行建模,以正确地平衡工作量和准确性,因此,重要的是要在每个来源上获得广泛的界限,以确保进行适当级别的建模和分析投资,以便在不过度悲观的情况下约束或最差情况下每个组件。对这些变化源中的每一个技术趋势有一个一级的了解也是很重要的。这将允许设计人员和CAD工具开发人员预测未来的问题领域,并根据需要计划工作。
{"title":"The impact of variability on power","authors":"S. Nassif","doi":"10.1145/1013235.1013241","DOIUrl":"https://doi.org/10.1145/1013235.1013241","url":null,"abstract":"Summary form only given. The integrated circuit manufacturing process has inevitable imperfections and fluctuations that result in ever-growing systematic and random variations in the electrical parameters of active and passive devices fabricated. The impact of such variations on various aspects of chip performance has been the subject of numerous papers, and techniques for analyzing and dealing with such variability, broadly labelled design for manufacturability, are emerging as the next hot topic in this area. The focus of much of the current work in this area has been on timing, but it is well known that modern integrated circuits are very heavily power limited and that static and dynamic power have emerged as first class design objectives. In this paper, we review the various sources of process variability, and relate them to variability in the various parts of the power delivery subsystem. Specifically, we address variability in the following areas: 1. static (leakage) power; 2. dynamic power; 3. on-chip power grid; 4. on-chip decoupling capacitance; 5. package power grid; 6. workload. It is important to model all these sources of variability with the correct balance of effort and accuracy, thus it is important to get broad bounds on each of the sources in order to insure that the appropriate level of modeling and analysis investment is made in order to bound or worst-case each component without undue pessimism. It is also important to have a first order understanding of the technology trends in each of these sources of variability. This will allow the designer and CAD tool developer to anticipate future problem areas and plan work arounds as needed.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129917859","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Single-V/sub DD/ and single-V/sub T/ super-drowsy techniques for low-leakage high-performance instruction caches 用于低泄漏高性能指令缓存的单v /sub DD/和单v /sub T/超级嗜睡技术
N. Kim, K. Flautner, D. Blaauw, T. Mudge
In this paper, we present a circuit technique that supports a super-drowsy mode with a single-V/sub DD/. In addition, we perform a detailed working set analysis for various cache line update policies for placing lines in a drowsy state. The analysis presents a policy for an instruction cache and shows it is as good as or better than more complex schemes proposed in the past. Furthermore, as air alternative to using high-threshold devices to reduce the bitline leakage through access transistors in drowsy caches, we propose a gated bitline precharge technique. A single threshold process is now sufficient. The gated precharge employs a simple but effective predictor that almost completely hides any performance loss incurred by the transitions between sub-banks. A 64-entry predictor with 3 bits per entry reduces the run-time increase by 78%, which is as effective as previous proposals that used content addressable predictors with 40 bits per entry. Overall, the combination of the proposed techniques reduces the leakage power by 72% with negligible (0.4%) run-time increase.
在本文中,我们提出了一种支持单v /sub DD/超嗜睡模式的电路技术。此外,我们对用于将行置于困倦状态的各种缓存行更新策略执行详细的工作集分析。分析提出了一种指令缓存策略,并表明它与过去提出的更复杂的方案一样好,甚至更好。此外,作为使用高阈值器件来减少休眠缓存中访问晶体管的位线泄漏的空气替代方案,我们提出了一种门控位线预充电技术。现在,单个阈值处理就足够了。门控预充采用了一个简单但有效的预测器,几乎完全隐藏了子银行之间转换所导致的任何性能损失。64项预测器,每个条目3位,减少了78%的运行时间增长,这与以前使用每个条目40位的内容可寻址预测器的建议一样有效。总的来说,所提出的技术组合减少了72%的泄漏功率,而运行时间的增加可以忽略不计(0.4%)。
{"title":"Single-V/sub DD/ and single-V/sub T/ super-drowsy techniques for low-leakage high-performance instruction caches","authors":"N. Kim, K. Flautner, D. Blaauw, T. Mudge","doi":"10.1145/1013235.1013254","DOIUrl":"https://doi.org/10.1145/1013235.1013254","url":null,"abstract":"In this paper, we present a circuit technique that supports a super-drowsy mode with a single-V/sub DD/. In addition, we perform a detailed working set analysis for various cache line update policies for placing lines in a drowsy state. The analysis presents a policy for an instruction cache and shows it is as good as or better than more complex schemes proposed in the past. Furthermore, as air alternative to using high-threshold devices to reduce the bitline leakage through access transistors in drowsy caches, we propose a gated bitline precharge technique. A single threshold process is now sufficient. The gated precharge employs a simple but effective predictor that almost completely hides any performance loss incurred by the transitions between sub-banks. A 64-entry predictor with 3 bits per entry reduces the run-time increase by 78%, which is as effective as previous proposals that used content addressable predictors with 40 bits per entry. Overall, the combination of the proposed techniques reduces the leakage power by 72% with negligible (0.4%) run-time increase.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129998265","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 44
Memory-aware energy-optimal frequency assignment for dynamic supply voltage scaling 动态电源电压标度的记忆感知能量最优频率分配
Youngjin Cho, N. Chang
Dynamic supply voltage scaling (DVS) is one of the best ways to reduce the energy consumption of a device when there is a super-linear relationship between energy and supply voltage, and a pseudo-linear relationship between delay and supply voltage. However, most DVS schemes scale the clock frequency of the supply-voltage-clock-scalable (SVCS) CPU only and do not address the energy consumption of the memory. The memory is generally non-supply-voltage-scalable (NSVS), but its energy consumption is variable to its clock frequency and the total execution time. Thus, DVS for an SVCS CPU cannot achieve an optimal system-wide energy saving without consideration of the memory, as far as it is controlled by an SVCS CPU. We introduce an energy-optimal frequency assignment, for both an SVCS CPU and a synchronous NSVS memory, which optimizes the system-wide energy consumption. We derive the energy-optimal clock frequencies for an SVCS CPU and a synchronous NSVS memory, as a function of the number of processor clock cycles, the number of memory accesses and the hardware energy model. Our technique modifies the frequency assignment of the CPU and the memory used in previous DVS schemes, which ignore the memory energy. It enables the system-wide energy-optimal settings and achieves additional 50% energy reduction over previous DVS schemes. This technique can also be applicable to synchronous NSVS peripheral devices.
当能量与电源电压呈超线性关系,延迟与电源电压呈伪线性关系时,动态电源电压缩放(DVS)是降低器件能耗的最佳途径之一。然而,大多数分布式交换机方案只缩放SVCS (supply-voltage-clock-scalable) CPU的时钟频率,而不解决内存的能耗问题。内存通常是非供电电压可伸缩的(nsv),但其能耗随其时钟频率和总执行时间而变化。因此,SVCS CPU的分布式交换机在受SVCS CPU控制的情况下,如果不考虑内存,就无法实现最优的全系统节能。我们为SVCS CPU和同步nscs存储器引入了能量最优频率分配,从而优化了系统范围的能量消耗。我们导出了SVCS CPU和同步NSVS存储器的能量最优时钟频率,作为处理器时钟周期数、存储器访问数和硬件能量模型的函数。我们的技术修改了在以前的分布式交换机方案中使用的CPU和内存的频率分配,这些方案忽略了内存能量。它可以实现全系统的能量优化设置,并实现比以前的分布式交换机方案额外减少50%的能量。该技术也适用于同步nsv外围设备。
{"title":"Memory-aware energy-optimal frequency assignment for dynamic supply voltage scaling","authors":"Youngjin Cho, N. Chang","doi":"10.1145/1013235.1013327","DOIUrl":"https://doi.org/10.1145/1013235.1013327","url":null,"abstract":"Dynamic supply voltage scaling (DVS) is one of the best ways to reduce the energy consumption of a device when there is a super-linear relationship between energy and supply voltage, and a pseudo-linear relationship between delay and supply voltage. However, most DVS schemes scale the clock frequency of the supply-voltage-clock-scalable (SVCS) CPU only and do not address the energy consumption of the memory. The memory is generally non-supply-voltage-scalable (NSVS), but its energy consumption is variable to its clock frequency and the total execution time. Thus, DVS for an SVCS CPU cannot achieve an optimal system-wide energy saving without consideration of the memory, as far as it is controlled by an SVCS CPU. We introduce an energy-optimal frequency assignment, for both an SVCS CPU and a synchronous NSVS memory, which optimizes the system-wide energy consumption. We derive the energy-optimal clock frequencies for an SVCS CPU and a synchronous NSVS memory, as a function of the number of processor clock cycles, the number of memory accesses and the hardware energy model. Our technique modifies the frequency assignment of the CPU and the memory used in previous DVS schemes, which ignore the memory energy. It enables the system-wide energy-optimal settings and achieves additional 50% energy reduction over previous DVS schemes. This technique can also be applicable to synchronous NSVS peripheral devices.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130486051","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 27
A novel continuous-time common-mode feedback for low-voltage switched-OPAMP 一种新颖的低压开关型opamp连续共模反馈
M. Ali-Bakhshian, K. Sadeghi
A novel rail-to-rail and fast continuous-time common-mode feedback (CMFB) strategy is presented for low-voltage switched-opamp (SO) circuits. The threshold voltage change due to bulk signal is used to measure the output voltage. To satisfy speed requirements, averaging common-mode (CM) level and amplifying error signal are realized in a single block. Finally, the measured CM level is controlled by applying an error-voltage dependent current to the output nodes. As a design example, a modified low-voltage switched-opamp in a cascade 2-1 delta-sigma modulator adopting the proposed technique is presented. SPICE simulation using a 0.18 /spl mu/m technology and V/sub DD/=0.9 V is provided which confirms the expected accuracy and speed of the CMFB circuitry.
针对低压开关放大器(SO)电路,提出了一种新的轨对轨快速连续共模反馈(CMFB)策略。输出电压的测量采用了由大容量信号引起的阈值电压变化。为了满足速度要求,共模电平的平均和误差信号的放大在一个块中实现。最后,通过向输出节点施加与误差电压相关的电流来控制测量的CM电平。作为设计实例,给出了一种采用该技术的改进的级联2-1 δ - σ调制器低压开关放大器。采用0.18 /spl mu/m技术和V/sub DD/=0.9 V进行SPICE仿真,证实了CMFB电路的预期精度和速度。
{"title":"A novel continuous-time common-mode feedback for low-voltage switched-OPAMP","authors":"M. Ali-Bakhshian, K. Sadeghi","doi":"10.1145/1013235.1013308","DOIUrl":"https://doi.org/10.1145/1013235.1013308","url":null,"abstract":"A novel rail-to-rail and fast continuous-time common-mode feedback (CMFB) strategy is presented for low-voltage switched-opamp (SO) circuits. The threshold voltage change due to bulk signal is used to measure the output voltage. To satisfy speed requirements, averaging common-mode (CM) level and amplifying error signal are realized in a single block. Finally, the measured CM level is controlled by applying an error-voltage dependent current to the output nodes. As a design example, a modified low-voltage switched-opamp in a cascade 2-1 delta-sigma modulator adopting the proposed technique is presented. SPICE simulation using a 0.18 /spl mu/m technology and V/sub DD/=0.9 V is provided which confirms the expected accuracy and speed of the CMFB circuitry.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121046505","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Creating a power-aware structured ASIC 创建一个功耗感知结构化ASIC
R. Taylor, H. Schmit
In an attempt to enable the cost-effective production of low and mid-volume application-specific chips, researchers have proposed a number of so-called structured ASIC architectures. These architectures represent a departure from traditional standard-cell-based ASIC designs in favor of techniques which present more physical and structural regularity. This paper presents circuits which provide power-performance flexibility in this regular, structured ASIC environment. These circuits, which employ gate sizing and voltage scaling for energy efficiency, enable delay-constrained power optimization to be performed for structured ASIC designs.
为了实现低批量和中批量特定应用芯片的经济高效生产,研究人员提出了许多所谓的结构化ASIC架构。这些架构代表了传统的基于标准单元的ASIC设计的背离,支持呈现更多物理和结构规律性的技术。本文提出了在这种规则的结构化ASIC环境中提供功率性能灵活性的电路。这些电路采用栅极尺寸和电压缩放来提高能效,可以对结构化ASIC设计进行延迟约束的功率优化。
{"title":"Creating a power-aware structured ASIC","authors":"R. Taylor, H. Schmit","doi":"10.1145/1013235.1013260","DOIUrl":"https://doi.org/10.1145/1013235.1013260","url":null,"abstract":"In an attempt to enable the cost-effective production of low and mid-volume application-specific chips, researchers have proposed a number of so-called structured ASIC architectures. These architectures represent a departure from traditional standard-cell-based ASIC designs in favor of techniques which present more physical and structural regularity. This paper presents circuits which provide power-performance flexibility in this regular, structured ASIC environment. These circuits, which employ gate sizing and voltage scaling for energy efficiency, enable delay-constrained power optimization to be performed for structured ASIC designs.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130906918","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Microarchitectural techniques for power gating of execution units 执行单元功率门控的微体系结构技术
Zhigang Hu, A. Buyuktosunoglu, V. Srinivasan, V. Zyuban, H. Jacobson, P. Bose
Leakage power is a major concern in current and future microprocessor designs. In this paper, we explore the potential of architectural techniques to reduce leakage through power-gating of execution units. This paper first develops parameterized analytical equations that estimate the break-even point for application of power-gating techniques. The potential for power gating execution units is then evaluated, for the range of relevant break-even points determined by the analytical equations, using a state-of-the-art out-of-order superscalar processor model. The power gating potential of the floating-point and fixed-point units of this processor is then evaluated using three different techniques to detect opportunities for entering sleep mode; ideal, time-based, and branch-misprediction-guided. Our results show that using the time-based approach, floating-point units can be put to sleep for up to 28% of the execution cycles at a performance loss of 2%. For the more difficult to power-gate fixed-point units, the branch misprediction guided technique allows the fixed-point units to be put to sleep for up to 40% more of the execution cycles compared to the simpler time-based technique, with similar performance impact. Overall, our experiments demonstrate that architectural techniques can be used effectively in power-gating execution units.
泄漏功率是当前和未来微处理器设计的主要问题。在本文中,我们探讨了通过执行单元的功率门控来减少泄漏的架构技术的潜力。本文首先建立了参数化分析方程,用于估计功率门控技术应用的盈亏平衡点。然后,使用最先进的无序超标量处理器模型,评估功率门控执行单元的潜力,以确定由解析方程确定的相关盈亏平衡点的范围。然后使用三种不同的技术评估该处理器的浮点和定点单元的功率门控电位,以检测进入睡眠模式的机会;理想的,基于时间的,分支错误预测导向的。我们的结果表明,使用基于时间的方法,浮点单元可以在高达28%的执行周期中处于睡眠状态,而性能损失为2%。对于更难供电的定点单元,与更简单的基于时间的技术相比,分支错误预测引导技术允许定点单元休眠高达40%的执行周期,并具有类似的性能影响。总的来说,我们的实验表明,架构技术可以有效地用于电源门控执行单元。
{"title":"Microarchitectural techniques for power gating of execution units","authors":"Zhigang Hu, A. Buyuktosunoglu, V. Srinivasan, V. Zyuban, H. Jacobson, P. Bose","doi":"10.1145/1013235.1013249","DOIUrl":"https://doi.org/10.1145/1013235.1013249","url":null,"abstract":"Leakage power is a major concern in current and future microprocessor designs. In this paper, we explore the potential of architectural techniques to reduce leakage through power-gating of execution units. This paper first develops parameterized analytical equations that estimate the break-even point for application of power-gating techniques. The potential for power gating execution units is then evaluated, for the range of relevant break-even points determined by the analytical equations, using a state-of-the-art out-of-order superscalar processor model. The power gating potential of the floating-point and fixed-point units of this processor is then evaluated using three different techniques to detect opportunities for entering sleep mode; ideal, time-based, and branch-misprediction-guided. Our results show that using the time-based approach, floating-point units can be put to sleep for up to 28% of the execution cycles at a performance loss of 2%. For the more difficult to power-gate fixed-point units, the branch misprediction guided technique allows the fixed-point units to be put to sleep for up to 40% more of the execution cycles compared to the simpler time-based technique, with similar performance impact. Overall, our experiments demonstrate that architectural techniques can be used effectively in power-gating execution units.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131061190","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 425
2.45 GHz power and data transmission for a low-power autonomous sensors platform 2.45 GHz功率和数据传输,用于低功耗自主传感器平台
S. Gregori, Yunlei Li, Huijuan Li, Jin Liu, F. Maloberti
This paper describes a power conversion and data recovery system for a microwave powered sensor platform. A patch microwave antenna, a matching filter and a rectifier make the system front-end and implement the RF-to-DC conversion of power carrier. The efficiency of the power conversion is as high as 47% with an input power level 250 /spl Omega/W at 2.45 GHz. Then, a 0.18 /spl Omega/m CMOS integrated circuit extracts the clock and the digital data. A modified pulse amplitude modulation scheme is used to modulate the data on the 2.45 GHz carrier frequency for combined data and power transmission; this scheme allows very low power consumption of the entire IC to be less than 10 /spl Omega/W and making the system suitable for an autonomous wireless connected sensor module.
介绍了一种用于微波动力传感器平台的功率转换和数据恢复系统。贴片微波天线、匹配滤波器和整流器构成系统前端,实现了功率载波的rf - dc转换。在2.45 GHz时,当输入功率为250 /spl ω /W时,功率转换效率高达47%。然后,0.18 /spl ω /m CMOS集成电路提取时钟和数字数据。采用改进的脉冲调幅方案对2.45 GHz载波频率上的数据进行调制,实现数据与功率的联合传输;该方案允许整个IC的功耗非常低,低于10 /spl ω /W,使系统适合自主无线连接的传感器模块。
{"title":"2.45 GHz power and data transmission for a low-power autonomous sensors platform","authors":"S. Gregori, Yunlei Li, Huijuan Li, Jin Liu, F. Maloberti","doi":"10.1145/1013235.1013303","DOIUrl":"https://doi.org/10.1145/1013235.1013303","url":null,"abstract":"This paper describes a power conversion and data recovery system for a microwave powered sensor platform. A patch microwave antenna, a matching filter and a rectifier make the system front-end and implement the RF-to-DC conversion of power carrier. The efficiency of the power conversion is as high as 47% with an input power level 250 /spl Omega/W at 2.45 GHz. Then, a 0.18 /spl Omega/m CMOS integrated circuit extracts the clock and the digital data. A modified pulse amplitude modulation scheme is used to modulate the data on the 2.45 GHz carrier frequency for combined data and power transmission; this scheme allows very low power consumption of the entire IC to be less than 10 /spl Omega/W and making the system suitable for an autonomous wireless connected sensor module.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131723231","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 42
A comparative study of MOS VCOs for low voltage high performance operation 低压高性能MOS压控振荡器的比较研究
J. Zhan, J. Duster, K. Kornegay
Six 10GHz MOS VCOs were designed and fabricated in the IBM 6RF 0.25um CMOS process. Their oscillation frequency, output amplitude and phase noise performance are measured and compared, and the results confirm that replacing shielded-ground inductors with high-resistivity substrate inductors improves phase noise performance. Capacitive source degeneration has also been identified as a performance limiting mechanism in MOS based VCOs rather than performance enhancing as in BJT based VCOs.
采用IBM 6RF 0.25um CMOS工艺设计并制造了6个10GHz MOS vco。测量和比较了它们的振荡频率、输出幅值和相位噪声性能,结果证实用高电阻率衬底电感代替屏蔽接地电感改善了相位噪声性能。电容源退化也被认为是MOS型压控振荡器的性能限制机制,而不是BJT型压控振荡器的性能增强机制。
{"title":"A comparative study of MOS VCOs for low voltage high performance operation","authors":"J. Zhan, J. Duster, K. Kornegay","doi":"10.1145/1013235.1013297","DOIUrl":"https://doi.org/10.1145/1013235.1013297","url":null,"abstract":"Six 10GHz MOS VCOs were designed and fabricated in the IBM 6RF 0.25um CMOS process. Their oscillation frequency, output amplitude and phase noise performance are measured and compared, and the results confirm that replacing shielded-ground inductors with high-resistivity substrate inductors improves phase noise performance. Capacitive source degeneration has also been identified as a performance limiting mechanism in MOS based VCOs rather than performance enhancing as in BJT based VCOs.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124080710","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
ESACW: an adaptive algorithm for transmission power reduction in wireless networks ESACW:一种无线网络传输功率降低的自适应算法
Hang Su, Peiliang Qiu, Qinru Qiu
In this paper we propose a new algorithm for reducing the energy dissipation of a wireless ad-hoc network. We first show that the performance and energy dissipation is a function of the probability of packet collision, which can be varied by changing the minimum contention window (CW/sub min/) parameter. Then we propose all algorithm, based on the IEEE 802.11 protocol, which can dynamically adjust CW/sub min/ for better performance and power. Experimental results show that, comparing to the original protocol, the proposed method can save 30% to 60% energy dissipation, and achieve similar or better performance.
本文提出了一种降低无线自组网能量损耗的新算法。我们首先证明了性能和能量消耗是数据包碰撞概率的函数,可以通过改变最小竞争窗口(CW/sub min/)参数来改变。然后,我们提出了基于IEEE 802.11协议的所有算法,该算法可以动态调整CW/sub min/以获得更好的性能和功耗。实验结果表明,与原协议相比,该方法可节省30% ~ 60%的能量消耗,且性能相似或更好。
{"title":"ESACW: an adaptive algorithm for transmission power reduction in wireless networks","authors":"Hang Su, Peiliang Qiu, Qinru Qiu","doi":"10.1145/1013235.1013262","DOIUrl":"https://doi.org/10.1145/1013235.1013262","url":null,"abstract":"In this paper we propose a new algorithm for reducing the energy dissipation of a wireless ad-hoc network. We first show that the performance and energy dissipation is a function of the probability of packet collision, which can be varied by changing the minimum contention window (CW/sub min/) parameter. Then we propose all algorithm, based on the IEEE 802.11 protocol, which can dynamically adjust CW/sub min/ for better performance and power. Experimental results show that, comparing to the original protocol, the proposed method can save 30% to 60% energy dissipation, and achieve similar or better performance.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124333092","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
期刊
Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1