首页 > 最新文献

Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)最新文献

英文 中文
Architectures for low power ultra-wideband radio receivers in the 3.1-5GHz band for data rates < 10Mbps 3.1-5GHz频段用于数据速率< 10Mbps的低功耗超宽带无线电接收机的体系结构
M. Verhelst, W. Vereecken, M. Steyaert, W. Dehaene
This paper compares different receiver architectures for UWB radio communication in the 3.1-5GHz band, targeting data rates up to 10Mbps, in terms of their BER performance and power consumption. A receiver, in which some correlations are carried out in the analog domain seems to outperform a fully digital receiver, commonly suggested for baseband UWB. This paper proves that for equal processing gain requirements the partially analog receiver consumes 7 times less power per received bit than the fully digital one.
本文比较了3.1-5GHz频段UWB无线电通信的不同接收器架构,目标数据速率高达10Mbps,从BER性能和功耗方面进行了比较。在模拟域中进行一些相关性的接收器似乎优于全数字接收器,通常建议用于基带超宽带。本文证明,在相同的处理增益要求下,部分模拟接收机每接收比特的功耗比全数字接收机低7倍。
{"title":"Architectures for low power ultra-wideband radio receivers in the 3.1-5GHz band for data rates < 10Mbps","authors":"M. Verhelst, W. Vereecken, M. Steyaert, W. Dehaene","doi":"10.1145/1013235.1013305","DOIUrl":"https://doi.org/10.1145/1013235.1013305","url":null,"abstract":"This paper compares different receiver architectures for UWB radio communication in the 3.1-5GHz band, targeting data rates up to 10Mbps, in terms of their BER performance and power consumption. A receiver, in which some correlations are carried out in the analog domain seems to outperform a fully digital receiver, commonly suggested for baseband UWB. This paper proves that for equal processing gain requirements the partially analog receiver consumes 7 times less power per received bit than the fully digital one.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127728451","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 46
Reducing radio energy consumption of key management protocols for wireless sensor networks 降低无线传感器网络密钥管理协议的无线电能量消耗
B. Lai, D. Hwang, Sunghae Kim, I. Verbauwhede
The security of sensor networks is a challenging area. Key management is one of the crucial parts in constructing the security among sensor nodes. However, key management protocols require a great deal of energy consumption, particularly in the transmission of initial key negotiation messages. In this paper, we examine three previously published sensor network security schemes: SPINS and C&R for master-key-based schemes, and Eschenhaur-Gligor (EG) for distributed-key-based schemes. We then present two new low-power schemes, which we call BROSK and OKS as alternatives to master-key-based schemes and distributed-key-based schemes, respectively. Compared to SPINS and C&R protocols, BROSK can reduce energy consumption by up to 12/spl times/ by reducing the number of data transmissions in the key negotiation process. Compared with EG, OKS reduces energy by up to 96% and reduces memory requirements by up to 78%.
传感器网络的安全性是一个具有挑战性的领域。密钥管理是构建传感器节点间安全的关键环节之一。然而,密钥管理协议需要大量的能量消耗,特别是在初始密钥协商消息的传输中。在本文中,我们研究了以前发表的三种传感器网络安全方案:基于主密钥的方案的SPINS和C&R,以及基于分布式密钥的方案的Eschenhaur-Gligor (EG)。然后,我们提出了两个新的低功耗方案,我们称之为BROSK和OKS,分别作为基于主密钥的方案和基于分布式密钥的方案的替代方案。与spin和C&R协议相比,BROSK通过减少密钥协商过程中的数据传输数量,可以将能耗降低高达12/spl /倍。与EG相比,OKS减少了96%的能量,减少了78%的内存需求。
{"title":"Reducing radio energy consumption of key management protocols for wireless sensor networks","authors":"B. Lai, D. Hwang, Sunghae Kim, I. Verbauwhede","doi":"10.1145/1013235.1013320","DOIUrl":"https://doi.org/10.1145/1013235.1013320","url":null,"abstract":"The security of sensor networks is a challenging area. Key management is one of the crucial parts in constructing the security among sensor nodes. However, key management protocols require a great deal of energy consumption, particularly in the transmission of initial key negotiation messages. In this paper, we examine three previously published sensor network security schemes: SPINS and C&R for master-key-based schemes, and Eschenhaur-Gligor (EG) for distributed-key-based schemes. We then present two new low-power schemes, which we call BROSK and OKS as alternatives to master-key-based schemes and distributed-key-based schemes, respectively. Compared to SPINS and C&R protocols, BROSK can reduce energy consumption by up to 12/spl times/ by reducing the number of data transmissions in the key negotiation process. Compared with EG, OKS reduces energy by up to 96% and reduces memory requirements by up to 78%.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132543186","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 70
Leakage power reduction by dual-Vth designs under probabilistic analysis of Vth variation 基于Vth变化概率分析的双Vth设计降低泄漏功率
Michael Liu, Wei-Shen Wang, M. Orshansky
Low-power circuits are especially sensitive to the increasing levels of process variability and uncertainty. In this paper we study the problem of leakage power minimization through dual Vth design techniques in the presence of significant Vth variation. For the first time we consider the optimal selection of Vth under a statistical model of threshold variation. Probabilistic analytical models are introduced to account for the impact of Vth uncertainty on leakage power and timing slack. Using this model, we show that the non-probabilistic analysis significantly (by 3/spl times/) underestimates the leakage power. We also show that in the presence of variability the optimal value of the second Vth must be about 30mV higher compared to the variation-free scenario. In addition, this model provides a way to compute the optimal value of the second Vth for a variety of process conditions.
低功耗电路对工艺变异性和不确定性的增加尤其敏感。本文研究了在存在显著v值变化的情况下,通过双v值设计技术实现泄漏功率最小化的问题。本文首次考虑了阈值变化统计模型下Vth的最优选择问题。引入概率分析模型,考虑了Vth不确定性对泄漏功率和定时松弛的影响。使用该模型,我们表明非概率分析显着低估了泄漏功率(3/spl倍/)。我们还表明,在存在可变性的情况下,与无变化的情况相比,第二个Vth的最佳值必须高出约30mV。此外,该模型还提供了一种计算各种工艺条件下第二个Vth的最优值的方法。
{"title":"Leakage power reduction by dual-Vth designs under probabilistic analysis of Vth variation","authors":"Michael Liu, Wei-Shen Wang, M. Orshansky","doi":"10.1145/1013235.1013243","DOIUrl":"https://doi.org/10.1145/1013235.1013243","url":null,"abstract":"Low-power circuits are especially sensitive to the increasing levels of process variability and uncertainty. In this paper we study the problem of leakage power minimization through dual Vth design techniques in the presence of significant Vth variation. For the first time we consider the optimal selection of Vth under a statistical model of threshold variation. Probabilistic analytical models are introduced to account for the impact of Vth uncertainty on leakage power and timing slack. Using this model, we show that the non-probabilistic analysis significantly (by 3/spl times/) underestimates the leakage power. We also show that in the presence of variability the optimal value of the second Vth must be about 30mV higher compared to the variation-free scenario. In addition, this model provides a way to compute the optimal value of the second Vth for a variety of process conditions.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124901697","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 43
The design of a low power asynchronous multiplier 低功耗异步乘法器的设计
Yijun Liu, S. Furber
In this paper we investigate the statistics of multiplier operands and identify two characteristics of their distribution that have important consequences for the design of low power multipliers: most inputs are positive, and most inputs have a small number of significant bits. These characteristics are exploited in the design of a multiplier that employs three techniques to minimize power consumption: asynchronous control, a radix-2 algorithm, and split registers. The power savings resulting from the use of these techniques are 55%, 23% and 12% respectively when compared to a synchronous multiplier using a radix-4 modified Booth's algorithm with unified registers. The results are derived from HSPICE simulations using input vectors from benchmark programs. A high-level software model is also used to compare the numbers of transitions in the various models.
在本文中,我们研究了乘法器操作数的统计数据,并确定了其分布的两个特征,这些特征对低功率乘法器的设计具有重要影响:大多数输入是正的,大多数输入具有少量有效位。乘数器的设计利用了这些特性,该乘数器采用三种技术来最小化功耗:异步控制、基数-2算法和分割寄存器。与使用统一寄存器的基数4改进的Booth算法的同步乘法器相比,使用这些技术所节省的功率分别为55%、23%和12%。结果来自HSPICE模拟,使用基准程序的输入向量。高级软件模型还用于比较各种模型中的转换数量。
{"title":"The design of a low power asynchronous multiplier","authors":"Yijun Liu, S. Furber","doi":"10.1145/1013235.1013310","DOIUrl":"https://doi.org/10.1145/1013235.1013310","url":null,"abstract":"In this paper we investigate the statistics of multiplier operands and identify two characteristics of their distribution that have important consequences for the design of low power multipliers: most inputs are positive, and most inputs have a small number of significant bits. These characteristics are exploited in the design of a multiplier that employs three techniques to minimize power consumption: asynchronous control, a radix-2 algorithm, and split registers. The power savings resulting from the use of these techniques are 55%, 23% and 12% respectively when compared to a synchronous multiplier using a radix-4 modified Booth's algorithm with unified registers. The results are derived from HSPICE simulations using input vectors from benchmark programs. A high-level software model is also used to compare the numbers of transitions in the various models.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127707242","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
Feasibility of monolithic and 3D-stacked DC-DC converters for microprocessors in 90nm technology generation 90纳米微处理器单片和3d堆叠DC-DC转换器的可行性
G. Schrom, P. Hazucha, J. Hahn, V. Kursun, D. Gardner, S. Narendra, T. Karnik, V. De
Rapidly increasing input current of microprocessors resulted in rising cost and motherboard real estate occupied by decoupling capacitors and power routing. We show by analysis that an on-die switching DC-DC converter is feasible for future microprocessor power delivery. The DC-DC converter can be fabricated in an existing CMOS process (90nm-180nm) with a back-end thin-film inductor module. We show that 85% efficiency and 10% output voltage droop can be achieved for 4:1, 3:1, and 2:1 conversion ratios, area overhead of 5% and no additional on-die decoupling capacitance. A 4:1 conversion results in 3.4/spl times/ smaller input current and 6.8/spl times/ smaller external decoupling.
微处理器的输入电流迅速增加,导致成本上升,主板空间被去耦电容器和电源路由所占用。我们通过分析表明,片上开关DC-DC变换器对于未来的微处理器电源传输是可行的。该DC-DC变换器可以在现有的CMOS工艺(90nm-180nm)中制造,并带有后端薄膜电感模块。我们表明,在4:1,3:1和2:1的转换比下,可以实现85%的效率和10%的输出电压下降,面积开销为5%,并且没有额外的片上去耦电容。4:1转换导致3.4/spl倍/更小的输入电流和6.8/spl倍/更小的外部去耦。
{"title":"Feasibility of monolithic and 3D-stacked DC-DC converters for microprocessors in 90nm technology generation","authors":"G. Schrom, P. Hazucha, J. Hahn, V. Kursun, D. Gardner, S. Narendra, T. Karnik, V. De","doi":"10.1145/1013235.1013302","DOIUrl":"https://doi.org/10.1145/1013235.1013302","url":null,"abstract":"Rapidly increasing input current of microprocessors resulted in rising cost and motherboard real estate occupied by decoupling capacitors and power routing. We show by analysis that an on-die switching DC-DC converter is feasible for future microprocessor power delivery. The DC-DC converter can be fabricated in an existing CMOS process (90nm-180nm) with a back-end thin-film inductor module. We show that 85% efficiency and 10% output voltage droop can be achieved for 4:1, 3:1, and 2:1 conversion ratios, area overhead of 5% and no additional on-die decoupling capacitance. A 4:1 conversion results in 3.4/spl times/ smaller input current and 6.8/spl times/ smaller external decoupling.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121122459","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 74
Maximizing efficiency of solar-powered systems by load matching 通过负荷匹配实现太阳能发电系统效率最大化
Dexin Li, P. Chou
Solar power is an important source of renewable energy for many low-power systems. Matching the power consumption level with the supply level can make a great difference in the efficiency of power utilization. This paper proposes a source-tracking power management strategy that maximizes the panel's total energy output under a given solar profile by load matching. The power efficiency was validated by extensive measurement. Compared to a conventional solar powered system, our load matching strategy improves the power utilization by 132% for a portable system performing image processing and wireless communication tasks.
对于许多低功率系统来说,太阳能是可再生能源的重要来源。将电力消耗水平与供电水平相匹配,可以大大提高电力利用效率。本文提出了一种源跟踪电源管理策略,该策略通过负载匹配使给定的太阳能轮廓下的面板总能量输出最大化。通过大量的测量验证了功率效率。与传统的太阳能供电系统相比,我们的负载匹配策略使执行图像处理和无线通信任务的便携式系统的功率利用率提高了132%。
{"title":"Maximizing efficiency of solar-powered systems by load matching","authors":"Dexin Li, P. Chou","doi":"10.1145/1013235.1013280","DOIUrl":"https://doi.org/10.1145/1013235.1013280","url":null,"abstract":"Solar power is an important source of renewable energy for many low-power systems. Matching the power consumption level with the supply level can make a great difference in the efficiency of power utilization. This paper proposes a source-tracking power management strategy that maximizes the panel's total energy output under a given solar profile by load matching. The power efficiency was validated by extensive measurement. Compared to a conventional solar powered system, our load matching strategy improves the power utilization by 132% for a portable system performing image processing and wireless communication tasks.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121140480","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 63
FSM-based power modeling of wireless protocols: the case of Bluetooth 基于fsm的无线协议功率建模:以蓝牙为例
L. Negri, M. Sami, D. Macii, Alessandra Terranegra
The proliferation of pervasive computing applications relying on battery-powered devices and wireless connectivity is posing great emphasis on the issue of power optimization. While node-level models and approaches have been widely discussed, a problem requiring even greater attention is that of power associated with the communication protocols. We propose a high-level modeling methodology based on finite state machines useful to predict the energy consumption of given communication tasks with very low computational cost, which can be applied to any protocol. We use this methodology to create a power model of Bluetooth that we characterize and validate experimentally on a real implementation.
依赖于电池供电设备和无线连接的普适计算应用程序的激增,使电源优化问题成为人们关注的焦点。虽然节点级模型和方法已经被广泛讨论,但一个需要更多关注的问题是与通信协议相关的功率。我们提出了一种基于有限状态机的高级建模方法,该方法有助于以极低的计算成本预测给定通信任务的能耗,该方法可应用于任何协议。我们使用这种方法创建了蓝牙的功率模型,并在实际实现中进行了表征和实验验证。
{"title":"FSM-based power modeling of wireless protocols: the case of Bluetooth","authors":"L. Negri, M. Sami, D. Macii, Alessandra Terranegra","doi":"10.1145/1013235.1013323","DOIUrl":"https://doi.org/10.1145/1013235.1013323","url":null,"abstract":"The proliferation of pervasive computing applications relying on battery-powered devices and wireless connectivity is posing great emphasis on the issue of power optimization. While node-level models and approaches have been widely discussed, a problem requiring even greater attention is that of power associated with the communication protocols. We propose a high-level modeling methodology based on finite state machines useful to predict the energy consumption of given communication tasks with very low computational cost, which can be applied to any protocol. We use this methodology to create a power model of Bluetooth that we characterize and validate experimentally on a real implementation.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114997558","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 34
Characterizing and modeling minimum energy operation for subthreshold circuits 亚阈值电路最小能量运行的表征和建模
B. Calhoun, A. Chandrakasan
Subthreshold operation is emerging as an energy-saving approach to many new applications. This paper examines energy minimization for circuits operating in the subthreshold region. We show the dependence of the optimum V/sub DD/ for a given technology on design characteristics and operating conditions. Solving equations for total energy provides an analytical solution for the Optimum VDD and V/sub T/ to minimize energy for a given frequency in subthreshold operation. SPICE simulations of a 200K transistor FIR filter confirm the analytical solution and the dependence of the minimum energy operating point on important parameters.
亚阈值操作正在成为许多新应用的节能方法。本文研究了在阈下区域运行的电路的能量最小化。我们展示了给定技术的最佳V/sub DD/与设计特性和操作条件的依赖关系。求解总能量方程提供了在亚阈值操作中给定频率下最小化能量的最佳VDD和V/sub T/的解析解。一个200K晶体管FIR滤波器的SPICE仿真证实了解析解和最小能量工作点对重要参数的依赖关系。
{"title":"Characterizing and modeling minimum energy operation for subthreshold circuits","authors":"B. Calhoun, A. Chandrakasan","doi":"10.1145/1013235.1013265","DOIUrl":"https://doi.org/10.1145/1013235.1013265","url":null,"abstract":"Subthreshold operation is emerging as an energy-saving approach to many new applications. This paper examines energy minimization for circuits operating in the subthreshold region. We show the dependence of the optimum V/sub DD/ for a given technology on design characteristics and operating conditions. Solving equations for total energy provides an analytical solution for the Optimum VDD and V/sub T/ to minimize energy for a given frequency in subthreshold operation. SPICE simulations of a 200K transistor FIR filter confirm the analytical solution and the dependence of the minimum energy operating point on important parameters.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129607658","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 210
Spatial encoding circuit techniques for peak power reduction of on-chip high-performance buses 降低片上高性能总线峰值功率的空间编码电路技术
Himanshu Kaul, D. Sylvester, M. Anders, R. Krishnamurthy
We propose various low-latency spatial encoder circuits based on bus-invert coding for reducing peak energy and current in on-chip buses with minimum penalty on total latency. The encoders are implemented in dual-rail domino logic with interfaces for static inputs and static buses. A spatial and temporally encoded dynamic bus technique is also proposed for higher performance targets. Comparisons to standard on-chip buses of various lengths with optimal repeater configurations at the 130nm node show the energy-delay and peak current-delay design space in which the different encoder circuits are beneficial. A 9mm spatially encoded static bus exhibits peak energy gains beyond that achievable through repeater optimization for a single cycle operation at 1 GHz, with delay and energy overhead of the encoding included. For throughput constrained buses, the spatially encoded static bus can provide up to 31% reduction in peak energy, while the spatially and temporally encoded dynamic bus yields peak current reductions of more than 50% for all bus lengths.
我们提出了各种基于总线反相编码的低延迟空间编码器电路,以减少片上总线的峰值能量和电流,同时对总延迟的影响最小。编码器采用双轨domino逻辑实现,具有用于静态输入和静态总线的接口。为了实现更高的性能目标,还提出了一种空间和时间编码的动态总线技术。与具有最佳中继器配置的不同长度的标准片上总线在130nm节点上的比较表明,不同编码器电路在能量延迟和峰值电流延迟设计空间中是有益的。考虑到编码的延迟和能量开销,9mm空间编码静态总线的峰值能量增益超过了通过对1ghz的单周期操作的中继器优化所能达到的峰值能量增益。对于吞吐量受限的总线,空间编码的静态总线可以提供高达31%的峰值能量减少,而空间和时间编码的动态总线在所有总线长度上产生超过50%的峰值电流减少。
{"title":"Spatial encoding circuit techniques for peak power reduction of on-chip high-performance buses","authors":"Himanshu Kaul, D. Sylvester, M. Anders, R. Krishnamurthy","doi":"10.1145/1013235.1013286","DOIUrl":"https://doi.org/10.1145/1013235.1013286","url":null,"abstract":"We propose various low-latency spatial encoder circuits based on bus-invert coding for reducing peak energy and current in on-chip buses with minimum penalty on total latency. The encoders are implemented in dual-rail domino logic with interfaces for static inputs and static buses. A spatial and temporally encoded dynamic bus technique is also proposed for higher performance targets. Comparisons to standard on-chip buses of various lengths with optimal repeater configurations at the 130nm node show the energy-delay and peak current-delay design space in which the different encoder circuits are beneficial. A 9mm spatially encoded static bus exhibits peak energy gains beyond that achievable through repeater optimization for a single cycle operation at 1 GHz, with delay and energy overhead of the encoding included. For throughput constrained buses, the spatially encoded static bus can provide up to 31% reduction in peak energy, while the spatially and temporally encoded dynamic bus yields peak current reductions of more than 50% for all bus lengths.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124985948","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Low-power fixed-width array multipliers 低功耗固定宽度阵列乘法器
Jinn-Shyan Wang, C. Kuo, Tsung-Han Yang
A fixed-width multiplier using the left-to-right algorithm for partial-product reduction is presented. The high-speed feature offered by this design is used to trade for low power. In one design, the proposed multiplier not only owns 8% speed improvement but also gains 14% power and 13% area reduction. When applying the voltage scaling to balance the speed, the power reduction is increased to 29%.
提出了一种用从左到右算法进行部分积约简的定宽乘法器。该设计提供的高速特性用于换取低功耗。在一种设计中,所提出的乘法器不仅速度提高了8%,而且功率提高了14%,面积减少了13%。当应用电压缩放来平衡速度时,功率降低增加到29%。
{"title":"Low-power fixed-width array multipliers","authors":"Jinn-Shyan Wang, C. Kuo, Tsung-Han Yang","doi":"10.1145/1013235.1013311","DOIUrl":"https://doi.org/10.1145/1013235.1013311","url":null,"abstract":"A fixed-width multiplier using the left-to-right algorithm for partial-product reduction is presented. The high-speed feature offered by this design is used to trade for low power. In one design, the proposed multiplier not only owns 8% speed improvement but also gains 14% power and 13% area reduction. When applying the voltage scaling to balance the speed, the power reduction is increased to 29%.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123109464","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 47
期刊
Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1