首页 > 最新文献

Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)最新文献

英文 中文
A CMOS even harmonic mixer with current reuse for low power applications 低功耗应用的CMOS均匀谐波混频器电流复用
Ming-Feng Huang, Shuenn-Yuh Lee, C. Kuo
This paper presents a novel topology for the even harmonic mixer (EHM). The proposed mixer employs current reuse and double frequency circuits in the RF input stage and LO stage, respectively, to improve its linearity and isolation. In addition, the proposed topology has the advantage of low power consumption. In order to demonstrate the benefits of the proposed mixer, theoretical analyses of conversion gain and linearity have been described in detail. The measured results reveal that the proposed mixer possesses single-end conversion gain of 8 dB and third-order input intercept point (IIP/sub 3/) of -3.8 dBm, respectively, under a supply voltage of 1.8 V and LO power of 4 dBm. The power consumption of the proposed mixer is about 1.4 mW at 900 MHz.
提出了一种新的均匀谐波混频器拓扑结构。本文提出的混频器在射频输入级和本振级分别采用电流复用和双频电路,以提高其线性度和隔离度。此外,所提出的拓扑结构具有低功耗的优点。为了证明所提出的混频器的优点,对转换增益和线性度进行了详细的理论分析。测量结果表明,在1.8 V电源电压和4 dBm本端功率下,该混频器的单端转换增益为8 dB,三阶输入截距点(IIP/sub 3/)为-3.8 dBm。所提出的混频器在900 MHz时的功耗约为1.4 mW。
{"title":"A CMOS even harmonic mixer with current reuse for low power applications","authors":"Ming-Feng Huang, Shuenn-Yuh Lee, C. Kuo","doi":"10.1145/1013235.1013307","DOIUrl":"https://doi.org/10.1145/1013235.1013307","url":null,"abstract":"This paper presents a novel topology for the even harmonic mixer (EHM). The proposed mixer employs current reuse and double frequency circuits in the RF input stage and LO stage, respectively, to improve its linearity and isolation. In addition, the proposed topology has the advantage of low power consumption. In order to demonstrate the benefits of the proposed mixer, theoretical analyses of conversion gain and linearity have been described in detail. The measured results reveal that the proposed mixer possesses single-end conversion gain of 8 dB and third-order input intercept point (IIP/sub 3/) of -3.8 dBm, respectively, under a supply voltage of 1.8 V and LO power of 4 dBm. The power consumption of the proposed mixer is about 1.4 mW at 900 MHz.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115031636","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
A CPL-based dual supply 32-bit ALU for sub 180 nm CMOS technologies 基于cpld的双电源32位ALU,适用于180nm以下的CMOS技术
B. Chatterjee, M. Sachdev, R. Krishnamurthy
In this paper we present the design of a high performance 32-bit ALU for low power applications. We use dual power supply scheme and CPL logic for non-critical units of the ALU. In addition, latches with only n-MOS clocked transistors are used to interface logic operating at different power supplies and achieve static power free operation. Our simulation results indicate that, for the 180 nm-65 nm CMOS technologies it is possible to reduce the ALU total energy by 18%-24%, with minimal delay degradation. In addition, there is up to 22%-32% reduction in leakage power in the standby mode.
在本文中,我们提出了一个高性能的32位ALU低功耗应用的设计。ALU的非关键单元采用双电源供电方案和CPL逻辑。此外,仅使用n-MOS时钟晶体管的锁存器用于在不同电源下操作的逻辑接口,并实现静态无功耗操作。我们的仿真结果表明,对于180 nm-65 nm的CMOS技术,可以在最小延迟退化的情况下将ALU总能量降低18%-24%。此外,在待机模式下,泄漏功率可降低22%-32%。
{"title":"A CPL-based dual supply 32-bit ALU for sub 180 nm CMOS technologies","authors":"B. Chatterjee, M. Sachdev, R. Krishnamurthy","doi":"10.1145/1013235.1013298","DOIUrl":"https://doi.org/10.1145/1013235.1013298","url":null,"abstract":"In this paper we present the design of a high performance 32-bit ALU for low power applications. We use dual power supply scheme and CPL logic for non-critical units of the ALU. In addition, latches with only n-MOS clocked transistors are used to interface logic operating at different power supplies and achieve static power free operation. Our simulation results indicate that, for the 180 nm-65 nm CMOS technologies it is possible to reduce the ALU total energy by 18%-24%, with minimal delay degradation. In addition, there is up to 22%-32% reduction in leakage power in the standby mode.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123963893","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
Experimental measurement of a novel power gating structure with intermediate power saving mode 一种新型中间节电电源门控结构的实验测量
Suhwan Kim, S. Kosonocky, D. Knebel, K. Stawiasz
A novel power gating structure is proposed for low-power, high-performance VLSI. This power gating structure supports an intermediate power saving mode as well as a traditional power cut-off mode. To evaluate our power gating structure, we design and fabricate three different macros in 0.13 /spl mu/m CMOS bulk technology. Our measurement results show that the additional intermediate power-mode allows us to cover various power-performance trade-off regimes, compared to conventional power gating structures.
提出了一种适用于低功耗高性能VLSI的新型功率门控结构。这种功率门控结构既支持中间省电模式,也支持传统的断电模式。为了评估我们的电源门控结构,我们在0.13 /spl mu/m的CMOS批量工艺中设计和制造了三个不同的宏。我们的测量结果表明,与传统的功率门控结构相比,额外的中间功率模式允许我们覆盖各种功率性能权衡机制。
{"title":"Experimental measurement of a novel power gating structure with intermediate power saving mode","authors":"Suhwan Kim, S. Kosonocky, D. Knebel, K. Stawiasz","doi":"10.1145/1013235.1013246","DOIUrl":"https://doi.org/10.1145/1013235.1013246","url":null,"abstract":"A novel power gating structure is proposed for low-power, high-performance VLSI. This power gating structure supports an intermediate power saving mode as well as a traditional power cut-off mode. To evaluate our power gating structure, we design and fabricate three different macros in 0.13 /spl mu/m CMOS bulk technology. Our measurement results show that the additional intermediate power-mode allows us to cover various power-performance trade-off regimes, compared to conventional power gating structures.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116659403","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 84
Approaches to run-time and standby mode leakage reduction in global buses 减少全局总线运行和待机模式泄漏的方法
R. Rao, K. Agarwal, D. Sylvester, Richard B. Brown, K. Nowka, S. Nassif
In this paper, we present various design approaches to leakage minimization in global repeaters. We demonstrate the applicability of the MTCMOS scheme to global repeaters for leakage reduction. We then analyze two design approaches called Duplicated Skewed Buses and Skewed Pulsed Buses. We show that significant reduction in standby leakage power can be obtained using these approaches while providing significant improvements in performance. We also illustrate the use of these proposed techniques with the MTCMOS approach to obtain further savings in leakage power. Simulations results in a 90nm process show that skewed pulsed buses with MTCMOS can provide 20% improvement in performance with over 25% reduction in active mode leakage and nearly 100X reduction in standby mode leakage.
在本文中,我们提出了在全局中继器中最小化泄漏的各种设计方法。我们证明了MTCMOS方案在全局中继器中减少泄漏的适用性。然后,我们分析了两种设计方法,称为重复倾斜总线和倾斜脉冲总线。我们表明,使用这些方法可以显著降低待机泄漏功率,同时显著提高性能。我们还说明了这些提出的技术与MTCMOS方法的使用,以进一步节省泄漏功率。90nm工艺的仿真结果表明,采用MTCMOS的偏斜脉冲母线可以提高20%的性能,减少25%以上的主动模式泄漏,减少近100倍的待机模式泄漏。
{"title":"Approaches to run-time and standby mode leakage reduction in global buses","authors":"R. Rao, K. Agarwal, D. Sylvester, Richard B. Brown, K. Nowka, S. Nassif","doi":"10.1145/1013235.1013285","DOIUrl":"https://doi.org/10.1145/1013235.1013285","url":null,"abstract":"In this paper, we present various design approaches to leakage minimization in global repeaters. We demonstrate the applicability of the MTCMOS scheme to global repeaters for leakage reduction. We then analyze two design approaches called Duplicated Skewed Buses and Skewed Pulsed Buses. We show that significant reduction in standby leakage power can be obtained using these approaches while providing significant improvements in performance. We also illustrate the use of these proposed techniques with the MTCMOS approach to obtain further savings in leakage power. Simulations results in a 90nm process show that skewed pulsed buses with MTCMOS can provide 20% improvement in performance with over 25% reduction in active mode leakage and nearly 100X reduction in standby mode leakage.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127033370","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
Low-power carry-select adder using adaptive supply voltage based on input vector patterns 基于输入矢量模式的自适应供电电压的低功耗进位选择加法器
Hiroaki Suzuki, Woopyo Jeong, K. Roy
Demands for the low power VLSI have been pushing the aggressive design methodologies to reduce the power consumption drastically. To meet the growing demand, we propose adaptive supply voltage carry-select adder (CSA) based on the input vector patterns. A proposed level converter based on the complementary pass transistor logic (CPL) cancels out the delay penalty of level conversion. We achieved 26% power improvement on a 128-bit CSA prototype over a conventional design with same performance.
对低功耗VLSI的需求一直在推动积极的设计方法,以大幅降低功耗。为了满足日益增长的需求,我们提出了基于输入矢量模式的自适应供电电压携带选择加法器(CSA)。提出了一种基于互补通型晶体管逻辑(CPL)的电平变换器,消除了电平转换的延迟损失。在相同性能的情况下,我们在128位CSA原型上实现了26%的功耗提升。
{"title":"Low-power carry-select adder using adaptive supply voltage based on input vector patterns","authors":"Hiroaki Suzuki, Woopyo Jeong, K. Roy","doi":"10.1145/1013235.1013312","DOIUrl":"https://doi.org/10.1145/1013235.1013312","url":null,"abstract":"Demands for the low power VLSI have been pushing the aggressive design methodologies to reduce the power consumption drastically. To meet the growing demand, we propose adaptive supply voltage carry-select adder (CSA) based on the input vector patterns. A proposed level converter based on the complementary pass transistor logic (CPL) cancels out the delay penalty of level conversion. We achieved 26% power improvement on a 128-bit CSA prototype over a conventional design with same performance.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125992737","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
A new algorithm for improved VDD assignment in low power dual VDD systems 低功耗双VDD系统中改进VDD分配的新算法
S. Kulkarni, A. Srivastava, D. Sylvester
We present the first in-depth study of the two existing algorithms namely, Clustered Voltage Scaling (CVS) and Extended Clustered Voltage Scaling (ECVS), used for assigning the voltage supply to gates in integrated circuits having dual power supplies. We present a comparison of the achievable power savings using these algorithms on various benchmark circuits and first point out that ECVS does provide appreciably larger power improvements compared to CVS. We then provide a new algorithm based on ECVS that further improves the power savings by efficient assignment of the power supplies to the gates. Our new algorithm provides up to 66% power reduction and improves the power savings by up to 28% and 13% with respect to CVS and ECVS respectively. Furthermore, since level conversion is an essential component of dual power supply systems we also present the first circuit-specific sensitivity study of achievable power savings to the energy and delay penalties imposed by level conversion.
我们首次深入研究了现有的两种算法,即聚类电压缩放(CVS)和扩展聚类电压缩放(ECVS),用于将电压分配给具有双电源的集成电路中的门。我们比较了在各种基准电路上使用这些算法可实现的功耗节约,并首先指出,与CVS相比,ECVS确实提供了明显更大的功耗改进。然后,我们提供了一种基于ECVS的新算法,通过有效地将电源分配到门,进一步提高了功耗节约。与CVS和ECVS相比,我们的新算法提供了高达66%的功耗降低,并分别提高了28%和13%的功耗节约。此外,由于电平转换是双电源系统的重要组成部分,我们还提出了第一个电路特定的灵敏度研究,研究可实现的功率节约对电平转换施加的能量和延迟惩罚的影响。
{"title":"A new algorithm for improved VDD assignment in low power dual VDD systems","authors":"S. Kulkarni, A. Srivastava, D. Sylvester","doi":"10.1145/1013235.1013287","DOIUrl":"https://doi.org/10.1145/1013235.1013287","url":null,"abstract":"We present the first in-depth study of the two existing algorithms namely, Clustered Voltage Scaling (CVS) and Extended Clustered Voltage Scaling (ECVS), used for assigning the voltage supply to gates in integrated circuits having dual power supplies. We present a comparison of the achievable power savings using these algorithms on various benchmark circuits and first point out that ECVS does provide appreciably larger power improvements compared to CVS. We then provide a new algorithm based on ECVS that further improves the power savings by efficient assignment of the power supplies to the gates. Our new algorithm provides up to 66% power reduction and improves the power savings by up to 28% and 13% with respect to CVS and ECVS respectively. Furthermore, since level conversion is an essential component of dual power supply systems we also present the first circuit-specific sensitivity study of achievable power savings to the energy and delay penalties imposed by level conversion.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127989675","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 67
Microarchitectural power modeling techniques for deep sub-micron microprocessors 深亚微米微处理器的微架构功率建模技术
N. Kim, Taeho Kgil, V. Bertacco, T. Austin, T. Mudge
The need to perform early design studies that combine architectural simulation with power estimation has become critical as power has become a design constraint whose importance has moved to the fore. To satisfy this demand several microarchitectural power simulators have been developed around SimpleScalar, a widely used microarchitectural performance simulator They have proven to be very useful at providing insights into power/performance trade-offs. However, they are neither parameterized nor technology scalable. In this paper, we propose more accurate parameterized power modeling techniques reflecting the actual technology parameters as well as input switching-events for memory and execution units. Compared to HSPICE, the proposed techniques show 93% and 91% accuracies for those blocks, but with a much faster simulation time. We also propose a more realistic power modeling technique for external I/O. In general, our approach includes more detailed microarchitectural and circuit modeling than has been the case in earlier simulators, without incurring a significant simulation time overhead - it can be as small as a few percent.
由于功率已经成为一个重要的设计约束,因此执行将架构模拟与功率估计相结合的早期设计研究的需求已经变得至关重要。为了满足这一需求,围绕SimpleScalar(一个广泛使用的微架构性能模拟器)开发了几个微架构功耗模拟器,它们在提供功耗/性能权衡方面非常有用。然而,它们既不是参数化的,也不是技术可扩展的。在本文中,我们提出了更准确的参数化功率建模技术,反映了实际的技术参数以及存储器和执行单元的输入切换事件。与HSPICE相比,所提出的技术在这些块上的准确率分别为93%和91%,但仿真时间要快得多。我们还提出了一种更现实的外部I/O功率建模技术。一般来说,我们的方法包括比早期模拟器更详细的微架构和电路建模,而不会产生显着的仿真时间开销-它可以小到几个百分点。
{"title":"Microarchitectural power modeling techniques for deep sub-micron microprocessors","authors":"N. Kim, Taeho Kgil, V. Bertacco, T. Austin, T. Mudge","doi":"10.1145/1013235.1013290","DOIUrl":"https://doi.org/10.1145/1013235.1013290","url":null,"abstract":"The need to perform early design studies that combine architectural simulation with power estimation has become critical as power has become a design constraint whose importance has moved to the fore. To satisfy this demand several microarchitectural power simulators have been developed around SimpleScalar, a widely used microarchitectural performance simulator They have proven to be very useful at providing insights into power/performance trade-offs. However, they are neither parameterized nor technology scalable. In this paper, we propose more accurate parameterized power modeling techniques reflecting the actual technology parameters as well as input switching-events for memory and execution units. Compared to HSPICE, the proposed techniques show 93% and 91% accuracies for those blocks, but with a much faster simulation time. We also propose a more realistic power modeling technique for external I/O. In general, our approach includes more detailed microarchitectural and circuit modeling than has been the case in earlier simulators, without incurring a significant simulation time overhead - it can be as small as a few percent.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130736818","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
An efficient voltage scaling algorithm for complex SoCs with few number of voltage modes 一种适用于电压模式数少的复杂soc的高效电压缩放算法
B. Gorjiara, N. Bagherzadeh, P. Chou
Increasing demand for larger high-performance applications requires developing more complex systems with hundreds of processing cores on a single chip. To allow dynamic voltage scaling in each on-chip core individually, many on-chip voltage regulators must be used. However, the limitations in implementation of on-chip inductors can reduce the efficiency, accuracy and the number of voltage modes generated by regulators. Therefore the future voltage scheduling algorithms must be efficient, even in the presence of few voltage modes; and fast, in order to handle complex applications. Techniques proposed to date need many fine-grained voltage modes to produce energy efficient results and their quality degrades significantly as the number of modes decreases. This paper presents a new technique called Adaptive Stochastic Gradient Voltage and Task Scheduling (ASG-VTS) that quickly generates very energy efficient results irrespective of the number of available voltage modes. The results of comparing our algorithm to the most efficient approaches (RVS and EE-GLSA) show that in the presence of only four valid modes, the ASG-VTS saves up to 26% and 33% more energy. On the other hand, other approaches require at least ten modes to reach the same level of energy saving that ASG-VTS achieves with only four modes. Therefore our algorithm can also be used to explore and minimize the number of required voltage levels in the system.
对更大的高性能应用程序的需求不断增长,需要开发更复杂的系统,在单个芯片上有数百个处理核心。为了允许每个片上核心单独的动态电压缩放,必须使用许多片上电压调节器。然而,片上电感实现的局限性会降低效率、精度和由调节器产生的电压模式的数量。因此,未来的电压调度算法必须是高效的,即使存在很少的电压模式;而且速度快,以便处理复杂的应用程序。迄今为止提出的技术需要许多细粒度的电压模式来产生节能结果,并且随着模式数量的减少,它们的质量显著下降。本文提出了一种新的技术,称为自适应随机梯度电压和任务调度(ASG-VTS),它可以快速产生非常节能的结果,而不管可用电压模式的数量。将我们的算法与最有效的方法(RVS和EE-GLSA)进行比较的结果表明,在只有四种有效模式的情况下,ASG-VTS可以节省高达26%和33%的能量。另一方面,其他方法需要至少十种模式才能达到ASG-VTS仅用四种模式就能达到的节能水平。因此,我们的算法也可以用于探索和最小化系统中所需电压电平的数量。
{"title":"An efficient voltage scaling algorithm for complex SoCs with few number of voltage modes","authors":"B. Gorjiara, N. Bagherzadeh, P. Chou","doi":"10.1145/1013235.1013326","DOIUrl":"https://doi.org/10.1145/1013235.1013326","url":null,"abstract":"Increasing demand for larger high-performance applications requires developing more complex systems with hundreds of processing cores on a single chip. To allow dynamic voltage scaling in each on-chip core individually, many on-chip voltage regulators must be used. However, the limitations in implementation of on-chip inductors can reduce the efficiency, accuracy and the number of voltage modes generated by regulators. Therefore the future voltage scheduling algorithms must be efficient, even in the presence of few voltage modes; and fast, in order to handle complex applications. Techniques proposed to date need many fine-grained voltage modes to produce energy efficient results and their quality degrades significantly as the number of modes decreases. This paper presents a new technique called Adaptive Stochastic Gradient Voltage and Task Scheduling (ASG-VTS) that quickly generates very energy efficient results irrespective of the number of available voltage modes. The results of comparing our algorithm to the most efficient approaches (RVS and EE-GLSA) show that in the presence of only four valid modes, the ASG-VTS saves up to 26% and 33% more energy. On the other hand, other approaches require at least ten modes to reach the same level of energy saving that ASG-VTS achieves with only four modes. Therefore our algorithm can also be used to explore and minimize the number of required voltage levels in the system.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133988625","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 18
Understanding the energy efficiency of simultaneous multithreading 了解同步多线程的能源效率
Yingmin Li, D. Brooks, Zhigang Hu, K. Skadron, P. Bose
Simultaneous multithreading (SMT) has proven to be an effective method of increasing the performance of microprocessors by extracting additional instruction-level parallelism from multiple threads. In current microprocessor designs, power-efficiency is of critical importance, and we present modeling extensions to an architectural simulator to allow us to study the power-performance efficiency of SMT. After a thorough design space exploration we find that SMT can provide a performance speedup of nearly 20% for a wide range of applications with a power overhead of roughly 24%. Thus, SMT can provide a substantial benefit for energy-efficiency metrics such as ED/sup 2/. We also explore the underlying reasons for the power uplift, analyze the impact of leakage-sensitive process technologies, and discuss our model validation strategy.
同步多线程(SMT)通过从多个线程中提取额外的指令级并行性,已被证明是提高微处理器性能的一种有效方法。在当前的微处理器设计中,功率效率是至关重要的,我们提出了一个架构模拟器的建模扩展,以允许我们研究SMT的功率性能效率。经过彻底的设计空间探索,我们发现SMT可以为广泛的应用提供近20%的性能加速,功耗开销约为24%。因此,SMT可以为能效指标(如ED/sup 2/)提供实质性的好处。我们还探讨了功率提升的潜在原因,分析了泄漏敏感工艺技术的影响,并讨论了我们的模型验证策略。
{"title":"Understanding the energy efficiency of simultaneous multithreading","authors":"Yingmin Li, D. Brooks, Zhigang Hu, K. Skadron, P. Bose","doi":"10.1145/1013235.1013251","DOIUrl":"https://doi.org/10.1145/1013235.1013251","url":null,"abstract":"Simultaneous multithreading (SMT) has proven to be an effective method of increasing the performance of microprocessors by extracting additional instruction-level parallelism from multiple threads. In current microprocessor designs, power-efficiency is of critical importance, and we present modeling extensions to an architectural simulator to allow us to study the power-performance efficiency of SMT. After a thorough design space exploration we find that SMT can provide a performance speedup of nearly 20% for a wide range of applications with a power overhead of roughly 24%. Thus, SMT can provide a substantial benefit for energy-efficiency metrics such as ED/sup 2/. We also explore the underlying reasons for the power uplift, analyze the impact of leakage-sensitive process technologies, and discuss our model validation strategy.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134109859","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 54
Power-optimal pipelining in deep submicron technology 深亚微米技术中的功率优化流水线
Seongmoo Heo, K. Asanović
This paper explores the effectiveness of pipelining as a power saving tool, where the reduction in logic depth per stage is used to reduce supply voltage at a fixed clock frequency. We examine power-optimal pipelining in deep submicron technology, both analytically and by simulation. Simulation uses a 70 nm predictive process with a fanout-of-four inverter chain model including input/output flipflops, and results are shown to match theory well. The simulation results show that power-optimal logic depth is 6 to 8 FO4 and optimal power saving varies from 55 to 80% compared to a 24 FO4 logic depth, depending on threshold voltage, activity factor, and presence of clock-gating. We decompose the power consumption of a circuit into three components, switching power, leakage power, and idle power, and present the following insights into power-optimal pipelining. First, power-optimal logic depth decreases and optimal power savings increase for larger activity factors, where switching power dominates over leakage and idle power. Second, pipelining is more effective with lower threshold voltages at high activity factors, but higher threshold voltages give better results at lower activity factors where leakage current dominates. Lastly, clock-gating enables deeper pipelining and more power saving because it reduces timing element overhead when the activity factor is low.
本文探讨了流水线作为一种节能工具的有效性,其中每级逻辑深度的减少用于降低固定时钟频率下的电源电压。我们分析和模拟了深亚微米技术中的功率最优流水线。仿真采用70 nm预测工艺,采用包含输入/输出触发器的四扇通逆变器链模型,结果与理论吻合良好。仿真结果表明,与24 FO4逻辑深度相比,功率最优逻辑深度为6至8 FO4,最优省电范围为55至80%,具体取决于阈值电压、活动因子和时钟门控的存在。我们将电路的功耗分解为三个部分:开关功率、漏电功率和空闲功率,并对功率优化流水线提出以下见解。首先,对于较大的活动因数,功率最优逻辑深度降低,最优功耗节省增加,其中开关功率占主导地位,而不是泄漏和空闲功率。其次,在活度系数高的情况下,较低的阈值电压对管道输送更有效,但在泄漏电流占主导地位的较低活度系数下,较高的阈值电压效果更好。最后,时钟门控可以实现更深层次的流水线和更省电,因为当活动因子较低时,它可以减少定时元件的开销。
{"title":"Power-optimal pipelining in deep submicron technology","authors":"Seongmoo Heo, K. Asanović","doi":"10.1145/1013235.1013291","DOIUrl":"https://doi.org/10.1145/1013235.1013291","url":null,"abstract":"This paper explores the effectiveness of pipelining as a power saving tool, where the reduction in logic depth per stage is used to reduce supply voltage at a fixed clock frequency. We examine power-optimal pipelining in deep submicron technology, both analytically and by simulation. Simulation uses a 70 nm predictive process with a fanout-of-four inverter chain model including input/output flipflops, and results are shown to match theory well. The simulation results show that power-optimal logic depth is 6 to 8 FO4 and optimal power saving varies from 55 to 80% compared to a 24 FO4 logic depth, depending on threshold voltage, activity factor, and presence of clock-gating. We decompose the power consumption of a circuit into three components, switching power, leakage power, and idle power, and present the following insights into power-optimal pipelining. First, power-optimal logic depth decreases and optimal power savings increase for larger activity factors, where switching power dominates over leakage and idle power. Second, pipelining is more effective with lower threshold voltages at high activity factors, but higher threshold voltages give better results at lower activity factors where leakage current dominates. Lastly, clock-gating enables deeper pipelining and more power saving because it reduces timing element overhead when the activity factor is low.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122766830","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 31
期刊
Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1