首页 > 最新文献

Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)最新文献

英文 中文
Delay optimal low-power circuit clustering for FPGAs with dual supply voltages 双电源电压fpga的延迟优化低功耗电路聚类
Deming Chen, J. Cong
This paper presents a delay optimal FPGA clustering algorithm targeting low power. We assume that the configurable logic blocks of the FPGA can be programmed using either a high supply voltage (high-Vdd) or a low supply voltage (low-Vdd). We carry out the clustering procedure with the guarantee that the delay of the circuit under the general delay model is optimal, and in the meantime, logic blocks on the non-critical paths can be driven by low-Vdd to save power. We explore a set of dual-Vdd combinations to find the best ratio between low-Vdd and high-Vdd to achieve the largest power reduction. Experimental results show that our clustering algorithm can achieve power savings by 20.3% on average compared to the clustering result for an FPGA with a single high-Vdd. To our knowledge, this is the first work on dual-Vdd clustering for FPGA architectures.
提出了一种以低功耗为目标的延迟优化FPGA聚类算法。我们假设FPGA的可配置逻辑块可以使用高电源电压(high- vdd)或低电源电压(low- vdd)进行编程。我们在保证一般延迟模型下电路的延迟是最优的情况下进行聚类过程,同时在非关键路径上的逻辑块可以通过低vdd驱动来节省功耗。我们探索了一组双vdd组合,以找到低vdd和高vdd之间的最佳比例,以实现最大的功耗降低。实验结果表明,与具有单个高vdd的FPGA的聚类结果相比,我们的聚类算法平均可节省20.3%的功耗。据我们所知,这是针对FPGA架构的双vdd集群的第一项工作。
{"title":"Delay optimal low-power circuit clustering for FPGAs with dual supply voltages","authors":"Deming Chen, J. Cong","doi":"10.1145/1013235.1013259","DOIUrl":"https://doi.org/10.1145/1013235.1013259","url":null,"abstract":"This paper presents a delay optimal FPGA clustering algorithm targeting low power. We assume that the configurable logic blocks of the FPGA can be programmed using either a high supply voltage (high-Vdd) or a low supply voltage (low-Vdd). We carry out the clustering procedure with the guarantee that the delay of the circuit under the general delay model is optimal, and in the meantime, logic blocks on the non-critical paths can be driven by low-Vdd to save power. We explore a set of dual-Vdd combinations to find the best ratio between low-Vdd and high-Vdd to achieve the largest power reduction. Experimental results show that our clustering algorithm can achieve power savings by 20.3% on average compared to the clustering result for an FPGA with a single high-Vdd. To our knowledge, this is the first work on dual-Vdd clustering for FPGA architectures.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121325643","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
Active mode leakage reduction using fine-grained forward body biasing strategy 采用细粒度前向体偏置策略减少有源模式泄漏
Vishal Khandelwal, Ankur Srivastava
Leakage power minimization has become an important issue with technology scaling. Variable threshold voltage schemes have become popular for standby power reduction. In this work we look at another emerging aspect of this potent problem which is leakage power reduction in active mode of operation. In gate level circuits, a large number of gates are not switching in active mode at any given point in time but nevertheless are consuming leakage power. We propose a fine-grained Forward Body Biasing (FBB) Scheme for active mode leakage power reduction in gate level circuits without any delay penalty. Our results show that our optimal polynomial time FBB allocation scheme results in 70.2% reduction in leakage currents. We also present a novel placement-driven FBB allocation algorithm that effectively reduces the area penalty using the post-placement area slack and results in 39.7%, 64.7% and 67.1% reduction in leakage currents for 0%, 4% and 8% area slack respectively.
泄漏功率最小化已成为技术规模化的重要问题。可变阈值电压方案已成为流行的待机功率降低。在这项工作中,我们着眼于这个潜在问题的另一个新兴方面,即主动操作模式下的泄漏功率减少。在门电平电路中,大量的门在任何给定的时间点都没有在有源模式下开关,但仍在消耗泄漏功率。我们提出了一种细粒度的前向体偏置(FBB)方案,用于降低门电平电路的有源模式泄漏功率而不产生任何延迟损失。结果表明,最优多项式时间FBB分配方案可使漏电流减少70.2%。我们还提出了一种新的放置驱动FBB分配算法,该算法利用放置后的面积松弛有效地减少了面积损失,在面积松弛为0%、4%和8%的情况下,泄漏电流分别减少了39.7%、64.7%和67.1%。
{"title":"Active mode leakage reduction using fine-grained forward body biasing strategy","authors":"Vishal Khandelwal, Ankur Srivastava","doi":"10.1145/1013235.1013277","DOIUrl":"https://doi.org/10.1145/1013235.1013277","url":null,"abstract":"Leakage power minimization has become an important issue with technology scaling. Variable threshold voltage schemes have become popular for standby power reduction. In this work we look at another emerging aspect of this potent problem which is leakage power reduction in active mode of operation. In gate level circuits, a large number of gates are not switching in active mode at any given point in time but nevertheless are consuming leakage power. We propose a fine-grained Forward Body Biasing (FBB) Scheme for active mode leakage power reduction in gate level circuits without any delay penalty. Our results show that our optimal polynomial time FBB allocation scheme results in 70.2% reduction in leakage currents. We also present a novel placement-driven FBB allocation algorithm that effectively reduces the area penalty using the post-placement area slack and results in 39.7%, 64.7% and 67.1% reduction in leakage currents for 0%, 4% and 8% area slack respectively.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127337187","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 21
Power utility maximization for multiple-supply systems by a load-matching switch 通过负载匹配开关实现多电源系统的电力效用最大化
Chulsung Park, P. Chou
For embedded systems that rely on multiple power sources (MPS), power management must distribute the power by matching the supply and demand in conjunction with the traditional power management tasks. Proper load matching is especially critical for renewable able power sources such as solar panels and wind generators, because it directly affects the utility of the available power. This paper proposes a power distribution switch and a source-consumption matching algorithm that maximizes the total utility of the available power from these ambient power sources. Our method yields over 30% more usable power than conventional MPS designs.
对于依赖多电源的嵌入式系统(MPS),电源管理必须结合传统的电源管理任务,通过匹配供需来分配电源。适当的负载匹配对于可再生能源(如太阳能电池板和风力发电机)尤其重要,因为它直接影响到可用电力的效用。本文提出了一种功率分配开关和一种源-耗匹配算法,以最大限度地利用这些环境电源的可用功率。我们的方法比传统的MPS设计多产生30%以上的可用功率。
{"title":"Power utility maximization for multiple-supply systems by a load-matching switch","authors":"Chulsung Park, P. Chou","doi":"10.1145/1013235.1013281","DOIUrl":"https://doi.org/10.1145/1013235.1013281","url":null,"abstract":"For embedded systems that rely on multiple power sources (MPS), power management must distribute the power by matching the supply and demand in conjunction with the traditional power management tasks. Proper load matching is especially critical for renewable able power sources such as solar panels and wind generators, because it directly affects the utility of the available power. This paper proposes a power distribution switch and a source-consumption matching algorithm that maximizes the total utility of the available power from these ambient power sources. Our method yields over 30% more usable power than conventional MPS designs.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130412115","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 57
Eliminating voltage emergencies via microarchitectural voltage control feedback and dynamic optimization 通过微结构电压控制反馈和动态优化消除电压突发事件
K. Hazelwood, D. Brooks
Microprocessor designers use techniques such as clock gating to reduce power dissipation. An unfortunate side-effect of these techniques is the processor current fluctuations that stress the power-delivery network. Recent research has focused on hardware-only mechanisms to detect and eliminate these fluctuations. While the solutions have been effective at avoiding operating-range violations, they have done so at a performance penalty to the executing program. Compilers are well equipped to rearrange instructions such that current fluctuations are less dramatic, with minimal performance implications. Furthermore, a dynamic optimizer can eliminate the problem at run time, avoiding the difficult task of statically predicting voltage emergencies. This paper proposes complementing existing hardware solutions with additional run-time software to address problematic code sequences that cause recurring voltage swings. Our proposal extends existing hardware techniques to additionally provide feedback to a dynamic optimizer, which can provide a long-term solution, often without impacting the performance of the executing application. We found that recurring voltage fluctuations do exist in the SPEC2000 benchmarks, and that given very little information from the hardware, a dynamic optimizer can locate and correct many of the recurring voltage emergencies.
微处理器设计者使用时钟门控等技术来降低功耗。这些技术的一个不幸的副作用是处理器电流波动会给供电网络带来压力。最近的研究主要集中在检测和消除这些波动的纯硬件机制上。虽然这些解决方案在避免违反操作范围方面是有效的,但它们这样做是以对执行程序的性能损失为代价的。编译器可以很好地重新排列指令,使当前的波动不那么剧烈,对性能的影响最小。此外,动态优化器可以在运行时消除这个问题,避免了静态预测电压突发事件的困难任务。本文建议用额外的运行时软件来补充现有的硬件解决方案,以解决导致反复电压波动的有问题的代码序列。我们的建议扩展了现有的硬件技术,为动态优化器提供额外的反馈,这可以提供长期的解决方案,通常不会影响正在执行的应用程序的性能。我们发现在SPEC2000基准测试中确实存在反复出现的电压波动,并且在硬件提供的信息很少的情况下,动态优化器可以定位和纠正许多反复出现的电压紧急情况。
{"title":"Eliminating voltage emergencies via microarchitectural voltage control feedback and dynamic optimization","authors":"K. Hazelwood, D. Brooks","doi":"10.1145/1013235.1013315","DOIUrl":"https://doi.org/10.1145/1013235.1013315","url":null,"abstract":"Microprocessor designers use techniques such as clock gating to reduce power dissipation. An unfortunate side-effect of these techniques is the processor current fluctuations that stress the power-delivery network. Recent research has focused on hardware-only mechanisms to detect and eliminate these fluctuations. While the solutions have been effective at avoiding operating-range violations, they have done so at a performance penalty to the executing program. Compilers are well equipped to rearrange instructions such that current fluctuations are less dramatic, with minimal performance implications. Furthermore, a dynamic optimizer can eliminate the problem at run time, avoiding the difficult task of statically predicting voltage emergencies. This paper proposes complementing existing hardware solutions with additional run-time software to address problematic code sequences that cause recurring voltage swings. Our proposal extends existing hardware techniques to additionally provide feedback to a dynamic optimizer, which can provide a long-term solution, often without impacting the performance of the executing application. We found that recurring voltage fluctuations do exist in the SPEC2000 benchmarks, and that given very little information from the hardware, a dynamic optimizer can locate and correct many of the recurring voltage emergencies.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131279327","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 43
Preemption-aware dynamic voltage scaling in hard real-time systems 硬实时系统中感知抢占的动态电压缩放
Woonseok Kim, Jihong Kim, S. Min
Dynamic voltage scaling (DVS) is a well-known low-power design technique for embedded real-time systems. Because of its effectiveness on energy reduction, several variable voltage processors have been developed and many DVS algorithms targeting these processors have been proposed. However, most existing DVS algorithms focus on reducing the energy consumption of CPU only, ignoring their negative impacts on task scheduling and system wide energy consumption. In this paper, we address one of such side effects, an increase in task preemptions due to DVS. We present two preemption control techniques which can reduce the number of task preemptions of DVS algorithms. Experimental results show that the delayed-preemption technique is effective in reducing the number of preemptions incurred by DVS algorithms while achieving a high energy efficiency.
动态电压缩放(DVS)是嵌入式实时系统中一种众所周知的低功耗设计技术。由于其在降低能量方面的有效性,已经开发了几种可变电压处理器,并提出了许多针对这些处理器的分布式交换机算法。然而,大多数现有的分布式交换机算法只关注降低CPU的能耗,而忽略了它们对任务调度和整个系统能耗的负面影响。在本文中,我们解决了其中一个副作用,即由于分布式交换机导致的任务抢占的增加。我们提出了两种可以减少分布式交换机算法任务抢占数量的抢占控制技术。实验结果表明,延迟抢占技术可以有效地减少分布式交换机算法引起的抢占次数,同时达到较高的能量效率。
{"title":"Preemption-aware dynamic voltage scaling in hard real-time systems","authors":"Woonseok Kim, Jihong Kim, S. Min","doi":"10.1145/1013235.1013328","DOIUrl":"https://doi.org/10.1145/1013235.1013328","url":null,"abstract":"Dynamic voltage scaling (DVS) is a well-known low-power design technique for embedded real-time systems. Because of its effectiveness on energy reduction, several variable voltage processors have been developed and many DVS algorithms targeting these processors have been proposed. However, most existing DVS algorithms focus on reducing the energy consumption of CPU only, ignoring their negative impacts on task scheduling and system wide energy consumption. In this paper, we address one of such side effects, an increase in task preemptions due to DVS. We present two preemption control techniques which can reduce the number of task preemptions of DVS algorithms. Experimental results show that the delayed-preemption technique is effective in reducing the number of preemptions incurred by DVS algorithms while achieving a high energy efficiency.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133860661","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 80
Improved clock-gating through transparent pipelining 通过透明管道改进时钟门控
H. Jacobson
This paper re-examines the well established clocking principles of pipelines. It is observed that clock gating techniques that have long been assumed optimal in reality produce a significant amount of redundant clock pulses. The paper presents a new theory for optimal clocking of synchronous pipelines, presents practical implementations and evaluates the clock power benefits on a multiply/add-accumulate unit design. Transistor level simulations show that dynamic clock power dissipation can be reduced by 40-60% at pipeline utilization factors between 20-60%, on top of traditional stage-level clock gating, without affecting pipeline latency or throughput.
本文重新审视了已建立的管道时钟原理。可以观察到,时钟门控技术长期以来被认为是最佳的,在现实中产生了大量的冗余时钟脉冲。本文提出了同步管道最佳时钟的新理论,给出了实际实现,并评估了乘加累加单元设计的时钟功率效益。晶体管级仿真表明,在传统级级时钟门控的基础上,在管道利用率为20-60%的情况下,动态时钟功耗可以降低40-60%,而不会影响管道延迟或吞吐量。
{"title":"Improved clock-gating through transparent pipelining","authors":"H. Jacobson","doi":"10.1145/1013235.1013248","DOIUrl":"https://doi.org/10.1145/1013235.1013248","url":null,"abstract":"This paper re-examines the well established clocking principles of pipelines. It is observed that clock gating techniques that have long been assumed optimal in reality produce a significant amount of redundant clock pulses. The paper presents a new theory for optimal clocking of synchronous pipelines, presents practical implementations and evaluates the clock power benefits on a multiply/add-accumulate unit design. Transistor level simulations show that dynamic clock power dissipation can be reduced by 40-60% at pipeline utilization factors between 20-60%, on top of traditional stage-level clock gating, without affecting pipeline latency or throughput.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127409696","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 45
Efficient adaptive voltage scaling system through on-chip critical path emulation
M. Elgebaly, M. Sachdev
Conventional voltage scaling techniques rely on the characterization and monitoring of a unique critical path. However, the uniqueness of the critical path is a difficult requirement to establish in modern VLSI technologies due to the growing impact of process variations and interconnect parasitics on delay. This paper presents an on-chip critical path emulator architecture which tracks the changing critical path. The ability to emulate the actual critical path recovers most of the large margin added by conventional systems to guarantee a robust operation at all conditions. Due to the reduced margin, the proposed architecture is up to 43% and 23% more energy efficient compared to conventional open-loop and closed-loop voltage scaling systems respectively.
传统的电压缩放技术依赖于一个独特的关键路径的表征和监测。然而,由于工艺变化和互连寄生对延迟的影响越来越大,在现代VLSI技术中建立关键路径的唯一性是一个困难的要求。提出了一种能够跟踪关键路径变化的片上关键路径仿真器结构。模拟实际关键路径的能力恢复了传统系统增加的大部分大余量,以保证在所有条件下的稳健运行。由于减少了余量,与传统的开环和闭环电压缩放系统相比,该架构的能效分别提高了43%和23%。
{"title":"Efficient adaptive voltage scaling system through on-chip critical path emulation","authors":"M. Elgebaly, M. Sachdev","doi":"10.1145/1013235.1013325","DOIUrl":"https://doi.org/10.1145/1013235.1013325","url":null,"abstract":"Conventional voltage scaling techniques rely on the characterization and monitoring of a unique critical path. However, the uniqueness of the critical path is a difficult requirement to establish in modern VLSI technologies due to the growing impact of process variations and interconnect parasitics on delay. This paper presents an on-chip critical path emulator architecture which tracks the changing critical path. The ability to emulate the actual critical path recovers most of the large margin added by conventional systems to guarantee a robust operation at all conditions. Due to the reduced margin, the proposed architecture is up to 43% and 23% more energy efficient compared to conventional open-loop and closed-loop voltage scaling systems respectively.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123171631","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 33
Soft error and energy consumption interactions: a data cache perspective 软错误和能耗交互:数据缓存透视图
Lin Li, V. Degalahal, N. Vijaykrishnan, M. Kandemir, M. J. Irwin
Energy-efficiency and reliability are two major design constraints influencing next generation system designs. In this work, we focus on the interaction between power consumption and reliability considering the on-chip data caches. First, we investigate the impact of two commonly used architectural-level leakage reduction approaches on the data reliability. Our results indicate that the leakage optimization techniques can have very different reliability behavior as compared to an original cache with no leakage optimizations. Next, we investigate on providing data reliability in an energy-efficient fashion in the presence of soft-errors. In contrast to current commercial caches that treat and protect all data using the same error detection/correction mechanism, we present an adaptive error coding scheme that treats dirty and clean data cache blocks differently. Furthermore, we present an early-write-back scheme that enhances the ability to use a less powerful error protection scheme for a longer time without sacrificing reliability. Experimental results show that proposed schemes, when used in conjunction, can reduce dynamic energy of error protection components in L1 data cache by 11% on average without impacting the performance or reliability.
能源效率和可靠性是影响下一代系统设计的两个主要设计约束。在这项工作中,我们将重点放在考虑片上数据缓存的功耗和可靠性之间的相互作用上。首先,我们研究了两种常用的架构级泄漏减少方法对数据可靠性的影响。我们的结果表明,与没有泄漏优化的原始缓存相比,泄漏优化技术可能具有非常不同的可靠性行为。接下来,我们将研究如何在存在软错误的情况下以节能的方式提供数据可靠性。与目前使用相同错误检测/纠正机制处理和保护所有数据的商业缓存不同,我们提出了一种自适应错误编码方案,以不同的方式处理脏数据和干净数据缓存块。此外,我们提出了一种早期回写方案,该方案增强了在不牺牲可靠性的情况下长时间使用较弱的错误保护方案的能力。实验结果表明,在不影响性能和可靠性的情况下,所提出的方案可以将L1数据缓存中错误保护组件的动态能量平均降低11%。
{"title":"Soft error and energy consumption interactions: a data cache perspective","authors":"Lin Li, V. Degalahal, N. Vijaykrishnan, M. Kandemir, M. J. Irwin","doi":"10.1145/1013235.1013273","DOIUrl":"https://doi.org/10.1145/1013235.1013273","url":null,"abstract":"Energy-efficiency and reliability are two major design constraints influencing next generation system designs. In this work, we focus on the interaction between power consumption and reliability considering the on-chip data caches. First, we investigate the impact of two commonly used architectural-level leakage reduction approaches on the data reliability. Our results indicate that the leakage optimization techniques can have very different reliability behavior as compared to an original cache with no leakage optimizations. Next, we investigate on providing data reliability in an energy-efficient fashion in the presence of soft-errors. In contrast to current commercial caches that treat and protect all data using the same error detection/correction mechanism, we present an adaptive error coding scheme that treats dirty and clean data cache blocks differently. Furthermore, we present an early-write-back scheme that enhances the ability to use a less powerful error protection scheme for a longer time without sacrificing reliability. Experimental results show that proposed schemes, when used in conjunction, can reduce dynamic energy of error protection components in L1 data cache by 11% on average without impacting the performance or reliability.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125498136","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 138
Minimizing power consumption and complexity in a programmable transmit filter bank for OFDM 最大限度地降低功耗和复杂性的可编程传输滤波器组OFDM
A. Mehrnia, B. Daneshrad
Filter banks are efficient and essential signal processing blocks for design and implementation of multi-rate multi-band communications and signaling. In this paper we analytically study and derive the optimum choice of design parameters and filter bank structure to minimize power consumption and implementation cost for a programmable multi-rate transmit filter bank for OFDM. The optimization is performed on two fronts. We first perform system-level power and complexity analysis to define the optimum choice of filter parameters. Then through a hardware-level optimization, an efficient filter bank structure is introduced that results in at least a factor of 4 power reduction and also a complexity reduction from 6.95GOPS to 1.73GOPS for the multi-rate filter bank over the baseline design.
滤波器组是设计和实现多速率多频带通信和信令的有效和必要的信号处理模块。本文分析研究了OFDM可编程多速率传输滤波器组的设计参数和滤波器组结构的最佳选择,以最大限度地降低功耗和实现成本。优化在两个方面进行。我们首先进行系统级功率和复杂度分析,以确定滤波器参数的最佳选择。然后,通过硬件级优化,介绍了一种高效的滤波器组结构,使多速率滤波器组的功耗比基准设计至少降低了4倍,复杂度从6.95GOPS降低到1.73GOPS。
{"title":"Minimizing power consumption and complexity in a programmable transmit filter bank for OFDM","authors":"A. Mehrnia, B. Daneshrad","doi":"10.1145/1013235.1013293","DOIUrl":"https://doi.org/10.1145/1013235.1013293","url":null,"abstract":"Filter banks are efficient and essential signal processing blocks for design and implementation of multi-rate multi-band communications and signaling. In this paper we analytically study and derive the optimum choice of design parameters and filter bank structure to minimize power consumption and implementation cost for a programmable multi-rate transmit filter bank for OFDM. The optimization is performed on two fronts. We first perform system-level power and complexity analysis to define the optimum choice of filter parameters. Then through a hardware-level optimization, an efficient filter bank structure is introduced that results in at least a factor of 4 power reduction and also a complexity reduction from 6.95GOPS to 1.73GOPS for the multi-rate filter bank over the baseline design.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131799300","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Architecting voltage islands in core-based system-on-a-chip designs 在基于核心的片上系统设计中构建电压岛
Jingcao Hu, Youngsoo Shin, N. Dhanwada, R. Marculescu
Voltage islands enable core-level power optimization for System-on-Chip (SoC) designs by utilizing a unique supply voltage for each core. Architecting voltage islands involves island partition creation, voltage level assignment and floorplanning. The task of island partition creation and level assignment have to be done simultaneously in a floorplanning context due to the physical constraints involved in the design process. This leads to a floorplanning problem formulation that is very different from the traditional floorplanning for ASIC-style design. In this paper, we define the problem of architecting voltage islands in core-based designs and present a new algorithm for simultaneous voltage island partitioning, voltage level assignment and physical-level floorplanning. Application of the proposed algorithm to a few benchmark and industrial examples is demonstrated using a prototype tool. Results show power savings of 14%-28%, depending on the constraints imposed on the number of voltage islands and other physical-level parameters.
电压岛通过为每个核心使用独特的电源电压,实现了芯片系统(SoC)设计的核心级功率优化。电压岛的设计涉及岛屿分区创建、电压等级分配和平面规划。由于设计过程中的物理限制,岛屿分区的创建和水平分配的任务必须在平面规划的背景下同时完成。这就导致了与asic风格设计的传统平面规划有很大不同的平面规划问题。在本文中,我们定义了在基于核心的设计中构建电压岛的问题,并提出了一种同时进行电压岛划分、电压电平分配和物理层平面规划的新算法。利用原型工具对该算法进行了一些基准和工业实例的应用。结果显示,根据对电压岛的数量和其他物理级参数的限制,可以节省14%-28%的功率。
{"title":"Architecting voltage islands in core-based system-on-a-chip designs","authors":"Jingcao Hu, Youngsoo Shin, N. Dhanwada, R. Marculescu","doi":"10.1145/1013235.1013283","DOIUrl":"https://doi.org/10.1145/1013235.1013283","url":null,"abstract":"Voltage islands enable core-level power optimization for System-on-Chip (SoC) designs by utilizing a unique supply voltage for each core. Architecting voltage islands involves island partition creation, voltage level assignment and floorplanning. The task of island partition creation and level assignment have to be done simultaneously in a floorplanning context due to the physical constraints involved in the design process. This leads to a floorplanning problem formulation that is very different from the traditional floorplanning for ASIC-style design. In this paper, we define the problem of architecting voltage islands in core-based designs and present a new algorithm for simultaneous voltage island partitioning, voltage level assignment and physical-level floorplanning. Application of the proposed algorithm to a few benchmark and industrial examples is demonstrated using a prototype tool. Results show power savings of 14%-28%, depending on the constraints imposed on the number of voltage islands and other physical-level parameters.","PeriodicalId":120002,"journal":{"name":"Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2004-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129308148","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 142
期刊
Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1