首页 > 最新文献

21st International Conference on VLSI Design (VLSID 2008)最新文献

英文 中文
Dynamic Aggregation of Virtual Addresses in TLB Using TCAM Cells 基于TCAM单元的TLB虚拟地址动态聚合
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.57
Rupak Samanta, Jason Surprise, R. Mahapatra
In this paper, we propose dynamic aggregation of virtual tags in the Translation Lookaside Buffer (TLB) to increase its storage capacity without increasing the size of the tag array. To support dynamic aggregation, we incorporate a few Ternary-CAM (TCAM) cells into the TLB tag array. The modified TLB architecture demonstrates a compression scheme that increases TLB reach with negligible overhead and no access time penalty. The performance of the proposed TLB architecture is evaluated using SPEC CPU2000 benchmarks. Simulation results indicate a significant reduction in miss ratios, nearly 100% reduction is achieved in several benchmarks, and as much as a 46% increase in IPC (Instructions per cycle) is obtained when compared to a conventional TLB with the same number of tag entries. We also evaluate the performance of our tag compressed TLB against the performance of a conventional TLB that contains an equivalent number of virtual to physical address translations. Our results show that TCAM based compression is able to achieve nearly the same system performance as the large conventional TLB while consuming on average 38% less energy and 42% less area; thus illustrating that tag compression is a more attractive solution for improving TLB performance than simply increasing the size of the TLB.
在本文中,我们提出在翻译暂置缓冲区(Translation Lookaside Buffer, TLB)中动态聚合虚拟标签,以在不增加标签阵列大小的情况下增加其存储容量。为了支持动态聚合,我们将一些三元cam (TCAM)单元合并到TLB标签阵列中。改进的TLB体系结构演示了一种压缩方案,该方案可以在可以忽略的开销和没有访问时间损失的情况下增加TLB覆盖范围。提出的TLB架构的性能使用SPEC CPU2000基准进行评估。仿真结果表明,缺失率显着降低,在几个基准测试中实现了近100%的降低,并且与具有相同数量标签条目的传统TLB相比,IPC(每周期指令)增加了46%。我们还将标签压缩TLB的性能与包含相同数量的虚拟地址到物理地址转换的传统TLB的性能进行了比较。我们的研究结果表明,基于TCAM的压缩能够实现与大型传统TLB几乎相同的系统性能,而平均消耗的能量和面积分别减少38%和42%;因此,说明标签压缩是提高TLB性能的更有吸引力的解决方案,而不是简单地增加TLB的大小。
{"title":"Dynamic Aggregation of Virtual Addresses in TLB Using TCAM Cells","authors":"Rupak Samanta, Jason Surprise, R. Mahapatra","doi":"10.1109/VLSI.2008.57","DOIUrl":"https://doi.org/10.1109/VLSI.2008.57","url":null,"abstract":"In this paper, we propose dynamic aggregation of virtual tags in the Translation Lookaside Buffer (TLB) to increase its storage capacity without increasing the size of the tag array. To support dynamic aggregation, we incorporate a few Ternary-CAM (TCAM) cells into the TLB tag array. The modified TLB architecture demonstrates a compression scheme that increases TLB reach with negligible overhead and no access time penalty. The performance of the proposed TLB architecture is evaluated using SPEC CPU2000 benchmarks. Simulation results indicate a significant reduction in miss ratios, nearly 100% reduction is achieved in several benchmarks, and as much as a 46% increase in IPC (Instructions per cycle) is obtained when compared to a conventional TLB with the same number of tag entries. We also evaluate the performance of our tag compressed TLB against the performance of a conventional TLB that contains an equivalent number of virtual to physical address translations. Our results show that TCAM based compression is able to achieve nearly the same system performance as the large conventional TLB while consuming on average 38% less energy and 42% less area; thus illustrating that tag compression is a more attractive solution for improving TLB performance than simply increasing the size of the TLB.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133940502","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design 递归统计阻塞:一种改进的稀有事件模拟技术及其在SRAM电路设计中的应用
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.54
Amith Singhee, Jiajing Wang, B. Calhoun, Rob A. Rutenbar
Circuit reliability under statistical process variation is an area of growing concern. For highly replicated circuits such as SRAMs and flip flops, a rare statistical event for one circuit may induce a not-so-rare system failure. The Statistical Blockade was proposed as a Monte Carlo technique that allows us to efficiently filter-to block-unwanted samples insufficiently rare in the tail distributions we seek. However, there are significant practical problems with the technique. In this work, we show common scenarios in SRAM design where these problems render Statistical Blockade ineffective. We then propose significant extensions to make Statistical Blockade practically usable in these common scenarios. We show speedups of 102+ over standard Statistical Blockade and 104+ over standard Monte Carlo, for an SRAM cell in an industrial 90 nm technology.
统计过程变化下的电路可靠性是一个日益受到关注的领域。对于高度复制的电路,如sram和触发器,一个电路的罕见统计事件可能会导致不太罕见的系统故障。统计封锁是作为蒙特卡罗技术提出的,它允许我们有效地过滤-阻止在我们寻求的尾部分布中不够罕见的不需要的样本。然而,该技术存在重大的实际问题。在这项工作中,我们展示了SRAM设计中的常见场景,这些问题使统计封锁无效。然后,我们提出了重要的扩展,使统计封锁在这些常见场景中实际可用。我们展示了在工业90纳米技术下的SRAM单元的速度比标准统计封锁快102+,比标准蒙特卡罗快104+。
{"title":"Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design","authors":"Amith Singhee, Jiajing Wang, B. Calhoun, Rob A. Rutenbar","doi":"10.1109/VLSI.2008.54","DOIUrl":"https://doi.org/10.1109/VLSI.2008.54","url":null,"abstract":"Circuit reliability under statistical process variation is an area of growing concern. For highly replicated circuits such as SRAMs and flip flops, a rare statistical event for one circuit may induce a not-so-rare system failure. The Statistical Blockade was proposed as a Monte Carlo technique that allows us to efficiently filter-to block-unwanted samples insufficiently rare in the tail distributions we seek. However, there are significant practical problems with the technique. In this work, we show common scenarios in SRAM design where these problems render Statistical Blockade ineffective. We then propose significant extensions to make Statistical Blockade practically usable in these common scenarios. We show speedups of 102+ over standard Statistical Blockade and 104+ over standard Monte Carlo, for an SRAM cell in an industrial 90 nm technology.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132350421","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 64
Single Edge Clock (SEC) Distribution for Improved Latency, Skew, and Jitter Performance 单边时钟(SEC)分布改善延迟,倾斜和抖动性能
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.36
Jeff Mueller, R. Saleh
Synchronous clock distribution continues to be the dominant timing methodology for VLSI designs. As processes shrink, clock speeds increase, and die sizes grow, more-and-more of the clock period is lost to skew and jitter budgets. We propose to improve clock performance by focusing on the single, critical clock edge while relaxing requirements of the non-critical edge. A novel re-design of the traditional clock buffer is proposed as a drop-in replacement for existing clock distribution networks, yielding timing performance improvements of over 20% in latency and skew and up to 30% in jitter; alternatively, these timing advantages could be traded off to reduce clock buffer area and power by 33% and 12%, respectively.
同步时钟分配仍然是VLSI设计的主要时序方法。随着工艺的缩减、时钟速度的提高和晶片尺寸的增大,越来越多的时钟周期损失在倾斜和抖动预算上。我们建议通过关注单个关键时钟边缘来提高时钟性能,同时放宽对非关键边缘的要求。提出了对传统时钟缓冲器的一种新颖的重新设计,作为现有时钟分配网络的替代方案,其时序性能在延迟和倾斜方面提高了20%以上,在抖动方面提高了30%;或者,可以交换这些时序优势,以分别减少33%和12%的时钟缓冲区和功耗。
{"title":"Single Edge Clock (SEC) Distribution for Improved Latency, Skew, and Jitter Performance","authors":"Jeff Mueller, R. Saleh","doi":"10.1109/VLSI.2008.36","DOIUrl":"https://doi.org/10.1109/VLSI.2008.36","url":null,"abstract":"Synchronous clock distribution continues to be the dominant timing methodology for VLSI designs. As processes shrink, clock speeds increase, and die sizes grow, more-and-more of the clock period is lost to skew and jitter budgets. We propose to improve clock performance by focusing on the single, critical clock edge while relaxing requirements of the non-critical edge. A novel re-design of the traditional clock buffer is proposed as a drop-in replacement for existing clock distribution networks, yielding timing performance improvements of over 20% in latency and skew and up to 30% in jitter; alternatively, these timing advantages could be traded off to reduce clock buffer area and power by 33% and 12%, respectively.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115664582","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Analysis of Delay Variation in Encoded On-Chip Bus Signaling under Process Variation 制程变化下编码片上总线信令延迟变化分析
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.73
S. Tuuna, J. Isoaho, H. Tenhunen
In this paper, we model on-chip signaling over a bus consisting of encoding, drivers, transmission lines, receivers and decoding. We characterize the signaling circuitry as a function of its load capacitance. The effective load capacitance seen by a driver is derived for the decoupling method and distributed RLC transmission line models. The driver delay and rise time corresponding to the derived effective capacitance are used to derive the far-end voltage of a transmission line bus. The effects of process variation are taken into account in the characterization of the signaling circuitry and in the wire analysis. The overall delay variation of the bus due to device and wire process variation is then calculated. The model is verified by comparing it to HSPICE. We implement regular voltage mode, level- encoded dual-rail and l-of-4 signaling circuitry and apply the derived model to analyze them. The implementation and analysis are done in 45 nm technology.
在这篇论文中,我们在一个由编码、驱动、传输线、接收器和解码组成的总线上模拟片上信号。我们将信号电路描述为其负载电容的函数。针对去耦方法和分布式RLC传输线模型,推导了驱动器看到的有效负载电容。利用推导出的有效电容对应的驱动器延迟和上升时间,推导出传输线母线远端电压。在信号电路的表征和电线分析中考虑了工艺变化的影响。然后计算由器件和导线工艺变化引起的总线总延迟变化。通过与HSPICE的比较验证了模型的正确性。我们实现了规则电压模式、电平编码双轨和l-of-4信号电路,并应用推导的模型对它们进行了分析。实现和分析是在45纳米技术下完成的。
{"title":"Analysis of Delay Variation in Encoded On-Chip Bus Signaling under Process Variation","authors":"S. Tuuna, J. Isoaho, H. Tenhunen","doi":"10.1109/VLSI.2008.73","DOIUrl":"https://doi.org/10.1109/VLSI.2008.73","url":null,"abstract":"In this paper, we model on-chip signaling over a bus consisting of encoding, drivers, transmission lines, receivers and decoding. We characterize the signaling circuitry as a function of its load capacitance. The effective load capacitance seen by a driver is derived for the decoupling method and distributed RLC transmission line models. The driver delay and rise time corresponding to the derived effective capacitance are used to derive the far-end voltage of a transmission line bus. The effects of process variation are taken into account in the characterization of the signaling circuitry and in the wire analysis. The overall delay variation of the bus due to device and wire process variation is then calculated. The model is verified by comparing it to HSPICE. We implement regular voltage mode, level- encoded dual-rail and l-of-4 signaling circuitry and apply the derived model to analyze them. The implementation and analysis are done in 45 nm technology.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114812151","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Implementing the Best Processor Cores 实现最好的处理器核心
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.137
V. Boppana, R. Varma, S. Balajee
Summary form only given. It is well-known that varying architectural, technological and implementation aspects of embedded microprocessors, such as ARM, can produce widely differing performance and power specifications. Frequency specifications of high-end realizations are often nearly 2x-3x over vanilla flows. Power optimization techniques used in high-end processor designs have also been reported to have the potential to produce 3x-10x improvements in power over standard flows. This tutorial reviews high-end processor design challenges, techniques and presents state-of-the-art flows for implementing embedded processors. These techniques include processor and architecture selection, verification, selection of technology node/process, selection of macros, selection and optimization of standard cell libraries, design/architecture and power planning, advanced timing and power optimization, design closure, design integration, variability-tolerance, and design-for-manufacturability. The tutorial arms the audience with the best techniques, tools and methodologies to select and achieve the best Silicon for state-of-the-art embedded processors.
只提供摘要形式。众所周知,嵌入式微处理器(如ARM)的不同架构、技术和实现方面会产生很大差异的性能和功率规格。高端实现的频率规格通常是普通流程的2 -3倍。据报道,高端处理器设计中使用的功耗优化技术也有可能使功耗比标准流提高3 -10倍。本教程回顾了高端处理器的设计挑战、技术,并介绍了实现嵌入式处理器的最新流程。这些技术包括处理器和架构的选择、验证、技术节点/工艺的选择、宏的选择、标准单元库的选择和优化、设计/架构和电源规划、高级时序和电源优化、设计闭合、设计集成、可变性容忍和可制造性设计。本教程为读者提供了最好的技术、工具和方法,以选择和实现最先进的嵌入式处理器的最佳硅。
{"title":"Implementing the Best Processor Cores","authors":"V. Boppana, R. Varma, S. Balajee","doi":"10.1109/VLSI.2008.137","DOIUrl":"https://doi.org/10.1109/VLSI.2008.137","url":null,"abstract":"Summary form only given. It is well-known that varying architectural, technological and implementation aspects of embedded microprocessors, such as ARM, can produce widely differing performance and power specifications. Frequency specifications of high-end realizations are often nearly 2x-3x over vanilla flows. Power optimization techniques used in high-end processor designs have also been reported to have the potential to produce 3x-10x improvements in power over standard flows. This tutorial reviews high-end processor design challenges, techniques and presents state-of-the-art flows for implementing embedded processors. These techniques include processor and architecture selection, verification, selection of technology node/process, selection of macros, selection and optimization of standard cell libraries, design/architecture and power planning, advanced timing and power optimization, design closure, design integration, variability-tolerance, and design-for-manufacturability. The tutorial arms the audience with the best techniques, tools and methodologies to select and achieve the best Silicon for state-of-the-art embedded processors.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123870533","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
On the Detection of Missing-Gate Faults in Reversible Circuits by a Universal Test Set 通用测试集检测可逆电路缺门故障的研究
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.106
H. Rahaman, D. Kole, D. K. Das, B. Bhattacharya
Logic synthesis with reversible circuits has received considerable interest in the light of advances recently made in quantum computation. Implementation of a reversible circuit is envisaged by deploying several special types of quantum gates, such as k-CNOT. Newer technologies like ion trapping or nuclear magnetic resonance are required to emulate quantum gates. Although the classical stuck-at fault model is widely used for testing conventional CMOS circuits, new fault models, namely, single missing-gate fault (SMGF), repeated-gate fault (RGF), partial missing-gate fault (PMGF), and multiple missing-gate fault (MMGF), have been found to be more suitable for modeling defects in quantum k-CNOT gates. In this paper, it is shown that in an (n times n) reversible circuit implemented with k-CNOT gates, addition of only one extra control line along with duplication each k-CNOT gate yields an easily testable design, which admits a universal test set of size (n +1) that detects all SMGFs, RGFs, and PMGFs in the circuit.
鉴于最近在量子计算方面取得的进展,可逆电路的逻辑合成受到了相当大的兴趣。通过部署几种特殊类型的量子门,如k-CNOT,设想实现可逆电路。需要离子捕获或核磁共振等新技术来模拟量子门。虽然经典的卡在故障模型被广泛用于传统CMOS电路的测试,但新的故障模型,即单缺门故障(SMGF)、重复门故障(RGF)、部分缺门故障(PMGF)和多缺门故障(MMGF),已经被发现更适合于模拟量子k-CNOT门的缺陷。本文表明,在用k-CNOT门实现的(n × n)可逆电路中,每个k-CNOT门只需添加一条额外的控制线即可产生易于测试的设计,该设计允许一个大小为(n +1)的通用测试集,可以检测电路中的所有SMGFs, RGFs和PMGFs。
{"title":"On the Detection of Missing-Gate Faults in Reversible Circuits by a Universal Test Set","authors":"H. Rahaman, D. Kole, D. K. Das, B. Bhattacharya","doi":"10.1109/VLSI.2008.106","DOIUrl":"https://doi.org/10.1109/VLSI.2008.106","url":null,"abstract":"Logic synthesis with reversible circuits has received considerable interest in the light of advances recently made in quantum computation. Implementation of a reversible circuit is envisaged by deploying several special types of quantum gates, such as k-CNOT. Newer technologies like ion trapping or nuclear magnetic resonance are required to emulate quantum gates. Although the classical stuck-at fault model is widely used for testing conventional CMOS circuits, new fault models, namely, single missing-gate fault (SMGF), repeated-gate fault (RGF), partial missing-gate fault (PMGF), and multiple missing-gate fault (MMGF), have been found to be more suitable for modeling defects in quantum k-CNOT gates. In this paper, it is shown that in an (n times n) reversible circuit implemented with k-CNOT gates, addition of only one extra control line along with duplication each k-CNOT gate yields an easily testable design, which admits a universal test set of size (n +1) that detects all SMGFs, RGFs, and PMGFs in the circuit.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125652987","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 46
A Galois Field Based Logic Synthesis Approach with Testability 一种具有可测试性的基于伽罗瓦场的逻辑综合方法
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.88
J. Mathew, H. Rahaman, Ashutosh Kumar Singh, A. Jabir, D. Pradhan
In deep-submicron VLSI, efficient circuit testability is one of the most demanding requirements. Efficient testable logic synthesis is one way to tackle the problem. To this end, this paper introduces a new fast efficient graph-based decomposition technique for Boolean functions in finite fields, which utilizes the data structure of the multiple-output decision diagrams (MODD). In particular, the proposed technique is based on finite fields and can decompose any N valued arbitrary function F into N distinct sets conjunctively and N-l distinct sets disjunctively. The proposed technique is capable of generating testable circuits. The experimental results show that the proposed method is more economical in terms of literal count compared to existing approaches. Furthermore, we have shown that the basic block can be tested with eight test vectors.
在深亚微米VLSI中,高效的电路可测试性是最苛刻的要求之一。有效的可测试逻辑综合是解决这个问题的一种方法。为此,本文引入了一种新的基于图的有限域布尔函数快速高效分解技术,该技术利用多输出决策图(MODD)的数据结构。该方法基于有限域,可将任意N值函数F分解为N个合取的不同集和N- 1个析取的不同集。所提出的技术能够产生可测试的电路。实验结果表明,与现有方法相比,该方法在文字计数方面更加经济。此外,我们还证明了基本块可以用八个测试向量进行测试。
{"title":"A Galois Field Based Logic Synthesis Approach with Testability","authors":"J. Mathew, H. Rahaman, Ashutosh Kumar Singh, A. Jabir, D. Pradhan","doi":"10.1109/VLSI.2008.88","DOIUrl":"https://doi.org/10.1109/VLSI.2008.88","url":null,"abstract":"In deep-submicron VLSI, efficient circuit testability is one of the most demanding requirements. Efficient testable logic synthesis is one way to tackle the problem. To this end, this paper introduces a new fast efficient graph-based decomposition technique for Boolean functions in finite fields, which utilizes the data structure of the multiple-output decision diagrams (MODD). In particular, the proposed technique is based on finite fields and can decompose any N valued arbitrary function F into N distinct sets conjunctively and N-l distinct sets disjunctively. The proposed technique is capable of generating testable circuits. The experimental results show that the proposed method is more economical in terms of literal count compared to existing approaches. Furthermore, we have shown that the basic block can be tested with eight test vectors.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130044984","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Single Event Upset: An Embedded Tutorial 单一事件:一个嵌入式教程
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.28
Fan Wang, V. Agrawal
With the continuous downscaling of CMOS technologies, the reliability has become a major bottleneck in the evolution of the next generation systems. Technology trends such as transistor down-sizing, use of new materials, and system on chip architectures continue to increase the sensitivity of systems to soft errors. These errors are random and not related to permanent hardware faults. Their causes may be internal (e.g., interconnect coupling) or external (e.g., cosmic radiation). To meet the system reliability requirements it is necessary for both the circuit designers and test engineers to get the basic knowledge of the soft errors. We present a tutorial study of the radiation-induced single event upset phenomenon caused by external radiation, which is a major source of soft errors. We summarize basic radiation mechanisms and the resulting soft errors in silicon. Soft error mitigation techniques with time and space redundancy are illustrated. An industrial design example, the IBM z990 system, shows how the industry is dealing with soft errors these days.
随着CMOS技术的不断缩小,可靠性已成为下一代系统发展的主要瓶颈。诸如晶体管小型化、新材料的使用和片上系统架构等技术趋势继续增加系统对软错误的敏感性。这些错误是随机的,与永久性硬件故障无关。它们的原因可能是内部的(例如,互连耦合)或外部的(例如,宇宙辐射)。为了满足系统的可靠性要求,电路设计人员和测试工程师都有必要了解软误差的基本知识。本文介绍了由外部辐射引起的单事件扰动现象,这是软误差的主要来源。我们总结了硅的基本辐射机制和由此产生的软误差。介绍了具有时间和空间冗余的软误差缓解技术。一个工业设计的例子,IBM z990系统,展示了当今工业是如何处理软错误的。
{"title":"Single Event Upset: An Embedded Tutorial","authors":"Fan Wang, V. Agrawal","doi":"10.1109/VLSI.2008.28","DOIUrl":"https://doi.org/10.1109/VLSI.2008.28","url":null,"abstract":"With the continuous downscaling of CMOS technologies, the reliability has become a major bottleneck in the evolution of the next generation systems. Technology trends such as transistor down-sizing, use of new materials, and system on chip architectures continue to increase the sensitivity of systems to soft errors. These errors are random and not related to permanent hardware faults. Their causes may be internal (e.g., interconnect coupling) or external (e.g., cosmic radiation). To meet the system reliability requirements it is necessary for both the circuit designers and test engineers to get the basic knowledge of the soft errors. We present a tutorial study of the radiation-induced single event upset phenomenon caused by external radiation, which is a major source of soft errors. We summarize basic radiation mechanisms and the resulting soft errors in silicon. Soft error mitigation techniques with time and space redundancy are illustrated. An industrial design example, the IBM z990 system, shows how the industry is dealing with soft errors these days.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127269939","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 162
Wiring-Area Efficient Simultaneous Bidirectional Point-to-Point Link for Inter-Block On-Chip Signaling 用于块间片上信令的布线区域高效同时双向点对点链路
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.23
Charbel J. Akl, M. Bayoumi
The continuous semiconductor technology scaling has made on-chip interconnect the major determinant of VLSI design cost and complexity. This necessitates the usage of signaling techniques that reduce the number of long on- chip wires and repeaters. In this paper, we present a point-to-point inter-block on-chip link design that allows simultaneous bidirectional signaling, thus reducing the number of signal lines and repeaters, while achieving high performance. By using accelerating repeaters and inserting a bidirectional latch at the midpoint of the link high performance simultaneous bidirectional signaling can be achieved with significant reduction in repeater and wire counts. We analyze the switching behavior of the proposed on-chip simultaneous bidirectional link (SBL) and find that it suffers from large switching activity overhead. Therefore, an opposite-polarity transition encoding is also proposed to reduce the power overhead of SBL without affecting its performance.
半导体技术的不断发展使得片上互连成为决定超大规模集成电路设计成本和复杂度的主要因素。这就需要使用减少长片上导线和中继器数量的信令技术。在本文中,我们提出了一个点对点的块间片上链路设计,允许同时双向信令,从而减少信号线和中继器的数量,同时实现高性能。通过使用加速中继器并在链路中点插入双向锁存器,可以在显著减少中继器和线数的情况下实现高性能的同时双向信令。我们分析了所提出的片上同步双向链路(SBL)的切换行为,发现它的切换活动开销很大。因此,为了在不影响SBL性能的前提下降低SBL的功率开销,还提出了一种相反极性转换编码。
{"title":"Wiring-Area Efficient Simultaneous Bidirectional Point-to-Point Link for Inter-Block On-Chip Signaling","authors":"Charbel J. Akl, M. Bayoumi","doi":"10.1109/VLSI.2008.23","DOIUrl":"https://doi.org/10.1109/VLSI.2008.23","url":null,"abstract":"The continuous semiconductor technology scaling has made on-chip interconnect the major determinant of VLSI design cost and complexity. This necessitates the usage of signaling techniques that reduce the number of long on- chip wires and repeaters. In this paper, we present a point-to-point inter-block on-chip link design that allows simultaneous bidirectional signaling, thus reducing the number of signal lines and repeaters, while achieving high performance. By using accelerating repeaters and inserting a bidirectional latch at the midpoint of the link high performance simultaneous bidirectional signaling can be achieved with significant reduction in repeater and wire counts. We analyze the switching behavior of the proposed on-chip simultaneous bidirectional link (SBL) and find that it suffers from large switching activity overhead. Therefore, an opposite-polarity transition encoding is also proposed to reduce the power overhead of SBL without affecting its performance.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127003560","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
DFM / DFT / SiliconDebug / Diagnosis DFM / DFT /硅调试/诊断
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.129
S. Venkataraman, Nagesh Tamarapalli
Semiconductor yield has traditionally been limited by random particle-defect based issues. However, as the feature sizes reduced to 0.13 micron and below, systematic mechanism-limited yield loss began to appear as a substantial component in yield loss. In addition, it is becoming clear that ramping yield would take longer and final yields would not reach historical norms. A key factor for not reaching previously attained yield levels is the interaction between design and manufacturing. Yield losses in the newer processes include functional defects, parametric defects and issues with testing. Each of these sources of yield loss needs to analyzed and understood by designers and tool developers. In addition, new techniques and methods must be devised to minimize the impact of these yield loss mechanisms. After an introduction of the issues involved in the first section, the second section covers Design-for-Manufacturing (DFM) techniques to analyze the design content, flag areas of design that could limit yield, and make changes to improve yield. However, once the changes are made it is necessary to quantify their impact so that knowledge about yield contribution of different features can be fed back to design and DFM tools. Test presents an opportunity to close the loop by crafting test patterns to expose the defect prone features during automatic test pattern generation (ATPG) and by analyzing silicon failures through diagnosis to determine the features that are actually causing yield loss and their relative impact. The third section covers design techniques (DFX) to improve testability, debuggability and diagnosability, and DFM and defect aware test generation to both meet product quality and expose yield issues at test. Section four covers the basic concepts and theoretical aspects of debug and diagnosis including algorithmic IC diagnosis, scan chain diagnosis, critical path based techniques and diagnosis of delay defects. The applications of the basic concepts and techniques for silicon debug are covered in section five. Section six covers the application of statistical diagnosis techniques to determine the features that are actually causing yield loss and their relative impact. Finally, in section seven, future trends, challenges and directions are covered.
半导体成品率历来受到随机粒子缺陷问题的限制。然而,当特征尺寸减小到0.13微米及以下时,系统机制限制的产量损失开始成为产量损失的重要组成部分。此外,越来越明显的是,提高收益率将需要更长的时间,最终收益率将达不到历史标准。没有达到以前达到的产量水平的一个关键因素是设计和制造之间的相互作用。新工艺的产量损失包括功能缺陷、参数缺陷和测试问题。每一种产量损失的来源都需要设计者和工具开发者进行分析和理解。此外,必须设计新的技术和方法,以尽量减少这些产量损失机制的影响。在介绍了第一部分中涉及的问题之后,第二部分将介绍用于分析设计内容的面向制造的设计(DFM)技术,标记可能限制产量的设计区域,并进行更改以提高产量。然而,一旦做出改变,就有必要量化它们的影响,以便将有关不同特征对产量贡献的知识反馈给设计和DFM工具。在自动测试模式生成(ATPG)期间,通过制作测试模式来暴露容易出现缺陷的特征,以及通过诊断分析硅故障来确定实际导致产量损失的特征及其相对影响,测试提供了一个关闭循环的机会。第三部分涵盖了设计技术(DFX),以提高可测试性、可调试性和可诊断性,以及DFM和缺陷感知测试生成,以满足产品质量和暴露测试中的良率问题。第四部分涵盖了调试诊断的基本概念和理论方面,包括算法IC诊断、扫描链诊断、基于关键路径的技术和延迟缺陷诊断。硅调试的基本概念和技术的应用将在第五节中介绍。第六节涵盖了统计诊断技术的应用,以确定实际导致产量损失及其相对影响的特征。最后,在第七部分,未来的趋势,挑战和方向是涵盖。
{"title":"DFM / DFT / SiliconDebug / Diagnosis","authors":"S. Venkataraman, Nagesh Tamarapalli","doi":"10.1109/VLSI.2008.129","DOIUrl":"https://doi.org/10.1109/VLSI.2008.129","url":null,"abstract":"Semiconductor yield has traditionally been limited by random particle-defect based issues. However, as the feature sizes reduced to 0.13 micron and below, systematic mechanism-limited yield loss began to appear as a substantial component in yield loss. In addition, it is becoming clear that ramping yield would take longer and final yields would not reach historical norms. A key factor for not reaching previously attained yield levels is the interaction between design and manufacturing. Yield losses in the newer processes include functional defects, parametric defects and issues with testing. Each of these sources of yield loss needs to analyzed and understood by designers and tool developers. In addition, new techniques and methods must be devised to minimize the impact of these yield loss mechanisms. After an introduction of the issues involved in the first section, the second section covers Design-for-Manufacturing (DFM) techniques to analyze the design content, flag areas of design that could limit yield, and make changes to improve yield. However, once the changes are made it is necessary to quantify their impact so that knowledge about yield contribution of different features can be fed back to design and DFM tools. Test presents an opportunity to close the loop by crafting test patterns to expose the defect prone features during automatic test pattern generation (ATPG) and by analyzing silicon failures through diagnosis to determine the features that are actually causing yield loss and their relative impact. The third section covers design techniques (DFX) to improve testability, debuggability and diagnosability, and DFM and defect aware test generation to both meet product quality and expose yield issues at test. Section four covers the basic concepts and theoretical aspects of debug and diagnosis including algorithmic IC diagnosis, scan chain diagnosis, critical path based techniques and diagnosis of delay defects. The applications of the basic concepts and techniques for silicon debug are covered in section five. Section six covers the application of statistical diagnosis techniques to determine the features that are actually causing yield loss and their relative impact. Finally, in section seven, future trends, challenges and directions are covered.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130496186","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
21st International Conference on VLSI Design (VLSID 2008)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1