首页 > 最新文献

21st International Conference on VLSI Design (VLSID 2008)最新文献

英文 中文
Voltage and Temperature Scalable Standard Cell Leakage Models Based on Stacks for Statistical Leakage Characterization 基于堆栈的电压和温度可扩展标准电池泄漏模型的统计泄漏表征
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.38
Janakiraman Viraraghavan, B. P. Das, B. Amrutur
With extensive use of dynamic voltage scaling (DVS) there is increasing need for voltage scalable models. Similarly, leakage being very sensitive to temperature motivates the need for a temperature scalable model as well. We characterize standard cell libraries for statistical leakage analysis based on models for transistor stacks. Modeling stacks has the advantage of using a single model across many gates there by reducing the number of models that need to be characterized. Our experiments on 15 different gates show that we needed only 23 models to predict the leakage across 126 input vector combinations. We investigate the use of neural networks for the combined PVT model, for the stacks, which can capture the effect of inter die, intra gate variations, supply voltage(0.6-1.2 V) and temperature (0 - 100degC) on leakage. Results show that neural network based stack models can predict the PDF of leakage current across supply voltage and temperature accurately with the average error in mean being less than 2% and that in standard deviation being less than 5% across a range of voltage, temperature.
随着动态电压缩放(DVS)的广泛使用,对电压可缩放模型的需求日益增加。同样,泄漏对温度非常敏感,这也激发了对温度可伸缩模型的需求。我们描述了基于晶体管堆模型的统计泄漏分析的标准单元库。建模堆栈的优点是通过减少需要表征的模型的数量,在许多门上使用单个模型。我们在15个不同门上的实验表明,我们只需要23个模型来预测126个输入向量组合的泄漏。我们研究了将神经网络用于组合PVT模型,用于堆栈,该模型可以捕获芯片间,栅极内变化,电源电压(0.6-1.2 V)和温度(0 - 100℃)对泄漏的影响。结果表明,基于神经网络的堆栈模型可以准确预测电源电压和温度范围内的泄漏电流PDF,其平均值误差小于2%,标准差误差小于5%。
{"title":"Voltage and Temperature Scalable Standard Cell Leakage Models Based on Stacks for Statistical Leakage Characterization","authors":"Janakiraman Viraraghavan, B. P. Das, B. Amrutur","doi":"10.1109/VLSI.2008.38","DOIUrl":"https://doi.org/10.1109/VLSI.2008.38","url":null,"abstract":"With extensive use of dynamic voltage scaling (DVS) there is increasing need for voltage scalable models. Similarly, leakage being very sensitive to temperature motivates the need for a temperature scalable model as well. We characterize standard cell libraries for statistical leakage analysis based on models for transistor stacks. Modeling stacks has the advantage of using a single model across many gates there by reducing the number of models that need to be characterized. Our experiments on 15 different gates show that we needed only 23 models to predict the leakage across 126 input vector combinations. We investigate the use of neural networks for the combined PVT model, for the stacks, which can capture the effect of inter die, intra gate variations, supply voltage(0.6-1.2 V) and temperature (0 - 100degC) on leakage. Results show that neural network based stack models can predict the PDF of leakage current across supply voltage and temperature accurately with the average error in mean being less than 2% and that in standard deviation being less than 5% across a range of voltage, temperature.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129812178","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Reduced Complementary Dynamic and Differential Logic: A CMOS Logic Style for DPA-Resistant Secure IC Design 减少互补动态和差分逻辑:一种CMOS逻辑风格的抗dpa安全IC设计
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.77
S. Rammohan, V. Sundaresan, R. Vemuri
In recent years, Differential Power Analysis (DPA) attack has become a major threat to the security of embedded cryptographic ICs (secure ICs) like smart cards. DPA attack is a powerful side-channel attack. During a DPA attack, the attacker uses power consumption measurements from the secure IC and statistical techniques to correlate the power consumption information leaked with the secret key stored in the secure IC, thus retrieving the secret key, and effectively breaking the secure IC. In this paper, we present a Reduced Complementary Dynamic and Differential Logic (RCDDL) style to design DPA-resistant, secure ICs. RCDDL style ensures that the power consumption of the secure IC remains invariant, and hence, uncorrelated to the input data (secret key). As opposed to existing DDL styles that complement every gate in the uncomplementary logic to generate the differential output, RCDDL style proposes reuse of gates, thus ensuring that a reduced number of gates in the uncomplementary logic are complemented to generate the differential output. Further, we present an analysis of how reduced complementation is achieved while maintaining the capacitance and switching requirements for power invariance. To evaluate the proposed logic style, we built a set of logic gates typically used to design secure ICs. Experiments on a set of circuits, designed using the set of RCDDL gates, show significant improvements in security strength, power consumption and area.
近年来,差分功率分析(DPA)攻击已成为智能卡等嵌入式加密ic(安全ic)安全的主要威胁。DPA攻击是一种强大的侧信道攻击。在DPA攻击期间,攻击者使用安全IC的功耗测量和统计技术将泄漏的功耗信息与存储在安全IC中的密钥相关联,从而检索密钥,并有效地破坏安全IC。在本文中,我们提出了一种减少互补动态和差分逻辑(RCDDL)风格来设计抗DPA的安全IC。RCDDL风格确保安全IC的功耗保持不变,因此与输入数据(秘密密钥)不相关。与现有DDL风格补充非互补逻辑中的每个门以生成差分输出相反,RCDDL风格建议重用门,从而确保补充非互补逻辑中较少数量的门以生成差分输出。此外,我们还分析了如何在保持功率不变性的电容和开关要求的同时实现减少互补。为了评估所提出的逻辑风格,我们构建了一组通常用于设计安全ic的逻辑门。在采用RCDDL栅极设计的一组电路上的实验表明,该电路在安全强度、功耗和面积上都有显著提高。
{"title":"Reduced Complementary Dynamic and Differential Logic: A CMOS Logic Style for DPA-Resistant Secure IC Design","authors":"S. Rammohan, V. Sundaresan, R. Vemuri","doi":"10.1109/VLSI.2008.77","DOIUrl":"https://doi.org/10.1109/VLSI.2008.77","url":null,"abstract":"In recent years, Differential Power Analysis (DPA) attack has become a major threat to the security of embedded cryptographic ICs (secure ICs) like smart cards. DPA attack is a powerful side-channel attack. During a DPA attack, the attacker uses power consumption measurements from the secure IC and statistical techniques to correlate the power consumption information leaked with the secret key stored in the secure IC, thus retrieving the secret key, and effectively breaking the secure IC. In this paper, we present a Reduced Complementary Dynamic and Differential Logic (RCDDL) style to design DPA-resistant, secure ICs. RCDDL style ensures that the power consumption of the secure IC remains invariant, and hence, uncorrelated to the input data (secret key). As opposed to existing DDL styles that complement every gate in the uncomplementary logic to generate the differential output, RCDDL style proposes reuse of gates, thus ensuring that a reduced number of gates in the uncomplementary logic are complemented to generate the differential output. Further, we present an analysis of how reduced complementation is achieved while maintaining the capacitance and switching requirements for power invariance. To evaluate the proposed logic style, we built a set of logic gates typically used to design secure ICs. Experiments on a set of circuits, designed using the set of RCDDL gates, show significant improvements in security strength, power consumption and area.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121523106","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
VLSI Implementation of a Digitally Tunable Gm-C Filter with Double CMOS Pair 双CMOS对数字可调谐Gm-C滤波器的VLSI实现
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.39
S. Ramasamy, B. Venkataramani, K. Anbugeetha
This paper proposes a modified, inverter based transconductor using double CMOS pair for implementation of Gm-C filters . The advantage of this scheme is that, instead of varying the power supply, the bias voltages at high impedance nodes are varied for frequency (F) tuning. A current steering DAC is proposed for controlling these bias voltages. Another major contribution of this paper is the use of switchable transconductance cell for Q-tuning. This dispenses with the need for two separate biasing circuits (for F and Q tuning). To study the performance of proposed schemes, a bandpass filter is implemented on TSMC-0.18 mum CMOS process using Gm/Id design methodology. The simulation results show a good centre frequency (10 MHz-120 MHz) and pass band (10MH-80MHz) tuning. The proposed approach guarantees the upper bound on THD to be -40 dB for 1 Vw signal swing. The use of inverters with double CMOS pair results in 21 dB higher PSRR compared to those using push pull inverter.
本文提出了一种改进的、基于逆变器的双CMOS对转换器,用于实现Gm-C滤波器。该方案的优点是,无需改变电源,只需改变高阻抗节点的偏置电压即可进行频率(F)调谐。提出了一种电流控制DAC来控制这些偏置电压。本文的另一个主要贡献是使用可切换的跨导电池进行q调谐。这样就不需要两个单独的偏置电路(用于F和Q调谐)。为了研究所提方案的性能,采用Gm/Id设计方法在TSMC-0.18 mum CMOS工艺上实现了一个带通滤波器。仿真结果表明,该系统具有良好的中心频率(10mhz - 120mhz)和通频带(10MH-80MHz)调谐性能。所提出的方法保证了1 Vw信号摆幅时THD的上限为-40 dB。使用双CMOS对的逆变器比使用推挽式逆变器的PSRR高21 dB。
{"title":"VLSI Implementation of a Digitally Tunable Gm-C Filter with Double CMOS Pair","authors":"S. Ramasamy, B. Venkataramani, K. Anbugeetha","doi":"10.1109/VLSI.2008.39","DOIUrl":"https://doi.org/10.1109/VLSI.2008.39","url":null,"abstract":"This paper proposes a modified, inverter based transconductor using double CMOS pair for implementation of Gm-C filters . The advantage of this scheme is that, instead of varying the power supply, the bias voltages at high impedance nodes are varied for frequency (F) tuning. A current steering DAC is proposed for controlling these bias voltages. Another major contribution of this paper is the use of switchable transconductance cell for Q-tuning. This dispenses with the need for two separate biasing circuits (for F and Q tuning). To study the performance of proposed schemes, a bandpass filter is implemented on TSMC-0.18 mum CMOS process using Gm/Id design methodology. The simulation results show a good centre frequency (10 MHz-120 MHz) and pass band (10MH-80MHz) tuning. The proposed approach guarantees the upper bound on THD to be -40 dB for 1 Vw signal swing. The use of inverters with double CMOS pair results in 21 dB higher PSRR compared to those using push pull inverter.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132507979","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
An Optical Reconfiguration System with Four Contexts 具有四种背景的光学重构系统
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.27
N. Yamaguchi, Minoru Watanabe
Optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser diode array, are a type of programmable gate array that can achieve rapid reconfiguration and numerous reconfiguration contexts. The gate array of an ORGA is optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. It is noteworthy that ORGA-VLSIs which can be reconfigured in nanoseconds without any overhead have already been fabricated. However, to date, no multi- holographic reconfiguration system that is suitable for such rapidly reconfigurable ORGA-VLSIs without any overhead has ever been developed. As the first step toward realizing such a device, a four-context optical system is demonstrated experimentally using a liquid crystal spatial light modulator and a He-Ne laser. This paper describes those experimental results and plans for future work.
光学可重构门阵列(ORGAs)是一种可编程门阵列,它由门阵列VLSI、全息存储器和激光二极管阵列组成,可以实现快速重构和多种重构环境。通过使用激光二极管阵列寻址的全息存储器的衍射图案,对ORGA的门阵列进行光学重新配置。值得注意的是,已经制造出可以在纳秒内重新配置而没有任何开销的orga - vlsi。然而,到目前为止,没有多全息重新配置系统,是适合这种快速可重新配置的orga - vlsi没有任何开销曾经被开发。作为实现这种装置的第一步,我们用液晶空间光调制器和He-Ne激光器实验演示了一个四环境光学系统。本文描述了这些实验结果和未来工作的计划。
{"title":"An Optical Reconfiguration System with Four Contexts","authors":"N. Yamaguchi, Minoru Watanabe","doi":"10.1109/VLSI.2008.27","DOIUrl":"https://doi.org/10.1109/VLSI.2008.27","url":null,"abstract":"Optically reconfigurable gate arrays (ORGAs), which consist of a gate array VLSI, a holographic memory, and a laser diode array, are a type of programmable gate array that can achieve rapid reconfiguration and numerous reconfiguration contexts. The gate array of an ORGA is optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. It is noteworthy that ORGA-VLSIs which can be reconfigured in nanoseconds without any overhead have already been fabricated. However, to date, no multi- holographic reconfiguration system that is suitable for such rapidly reconfigurable ORGA-VLSIs without any overhead has ever been developed. As the first step toward realizing such a device, a four-context optical system is demonstrated experimentally using a liquid crystal spatial light modulator and a He-Ne laser. This paper describes those experimental results and plans for future work.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128614737","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Energy Reduction in SRAM using Dynamic Voltage and Frequency Management 使用动态电压和频率管理降低SRAM的能量
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.47
I. MohammedShareef, P. Nair, B. Amrutur
This paper describes a dynamic voltage frequency control scheme for a 256 X 64 SRAM block for reducing the energy in active mode and stand-by mode. The DVFM control system monitors the external clock and changes the supply voltage and the body bias so as to achieve a significant reduction in energy. The behavioral model of the proposed DVFM control system algorithm is described and simulated in HDL using delay and energy parameters obtained through SPICE simulation. The frequency range dictated by an external controller is 100 MHz to I GHz. The supply voltage of the complete memory system is varied in steps of 50 mV over the range of 500 mV to IV. The threshold voltage range of operation is plusmn100 mV around the nominal value, achieving 83.4% energy reduction in the active mode and 86.7% in the stand-by mode. This paper also proposes a energy replica that is used in the energy monitor subsystem of the DVFM system.
本文介绍了一种用于256 × 64 SRAM块的动态电压频率控制方案,以减少主动模式和待机模式下的能量。DVFM控制系统监控外部时钟,改变电源电压和体偏置,从而实现能量的显著降低。利用SPICE仿真得到的时延和能量参数,描述了所提出的DVFM控制系统算法的行为模型,并用HDL语言进行了仿真。外部控制器控制的频率范围为100mhz ~ 1ghz。整个存储系统的电源电压在500 mV到IV的范围内以50 mV的阶跃变化。工作的阈值电压范围在标称值附近为±100 mV,在主动模式下节能83.4%,在待机模式下节能86.7%。本文还提出了一种用于DVFM系统能量监测子系统的能量副本。
{"title":"Energy Reduction in SRAM using Dynamic Voltage and Frequency Management","authors":"I. MohammedShareef, P. Nair, B. Amrutur","doi":"10.1109/VLSI.2008.47","DOIUrl":"https://doi.org/10.1109/VLSI.2008.47","url":null,"abstract":"This paper describes a dynamic voltage frequency control scheme for a 256 X 64 SRAM block for reducing the energy in active mode and stand-by mode. The DVFM control system monitors the external clock and changes the supply voltage and the body bias so as to achieve a significant reduction in energy. The behavioral model of the proposed DVFM control system algorithm is described and simulated in HDL using delay and energy parameters obtained through SPICE simulation. The frequency range dictated by an external controller is 100 MHz to I GHz. The supply voltage of the complete memory system is varied in steps of 50 mV over the range of 500 mV to IV. The threshold voltage range of operation is plusmn100 mV around the nominal value, achieving 83.4% energy reduction in the active mode and 86.7% in the stand-by mode. This paper also proposes a energy replica that is used in the energy monitor subsystem of the DVFM system.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126762677","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Mismatch Aware Analog Performance Macromodeling Using Spline Center and Range Regression on Adaptive Samples 基于样条中心和自适应样本范围回归的失配感知模拟性能宏建模
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.76
Shubhankar Basu, Balaji Kommineni, R. Vemuri
Analog design traditionally relies on designer's knowldge and expertise. Numerous automated synthesis methods have been proposed over the years; they reduce time complexity and explore wider design space. Manufacturing induced defects in the process parameters, render device characteristics inconsistent with their prediced behavior. Device mismatch causes significant variation in analog circuit performance. Monte Carlo simulation is known to be the most accurate method of measuring performance under random variation. But monte-carlo simulation is prohivitively expensive during synthesis process. In this work we present a novel Spline Center and Range Regression (SCRR) technique on adaptive samples to model performance in the presence of process variation. Mismatch aware macromodels can provide considerable speedup during synthesis with minimal loss in accuracy. Experimental results demonstrate the accuracy of the macromodels on an independent validation set using 180nm and 65nm technologies.
模拟设计传统上依赖于设计师的知识和专业知识。多年来,已经提出了许多自动合成方法;它们减少了时间复杂度,探索了更广阔的设计空间。制造过程中引起的工艺参数缺陷,使器件特性与其预期行为不一致。器件失配导致模拟电路性能的显著变化。蒙特卡罗模拟被认为是测量随机变化下性能的最精确的方法。但在合成过程中蒙特卡罗模拟的成本过高。在这项工作中,我们提出了一种新的样条中心和范围回归(SCRR)技术,用于自适应样本来模拟存在过程变化的性能。对失配敏感的宏模型可以在合成过程中以最小的精度损失提供相当大的加速。实验结果表明,采用180nm和65nm技术的宏模型在独立验证集上具有较高的准确性。
{"title":"Mismatch Aware Analog Performance Macromodeling Using Spline Center and Range Regression on Adaptive Samples","authors":"Shubhankar Basu, Balaji Kommineni, R. Vemuri","doi":"10.1109/VLSI.2008.76","DOIUrl":"https://doi.org/10.1109/VLSI.2008.76","url":null,"abstract":"Analog design traditionally relies on designer's knowldge and expertise. Numerous automated synthesis methods have been proposed over the years; they reduce time complexity and explore wider design space. Manufacturing induced defects in the process parameters, render device characteristics inconsistent with their prediced behavior. Device mismatch causes significant variation in analog circuit performance. Monte Carlo simulation is known to be the most accurate method of measuring performance under random variation. But monte-carlo simulation is prohivitively expensive during synthesis process. In this work we present a novel Spline Center and Range Regression (SCRR) technique on adaptive samples to model performance in the presence of process variation. Mismatch aware macromodels can provide considerable speedup during synthesis with minimal loss in accuracy. Experimental results demonstrate the accuracy of the macromodels on an independent validation set using 180nm and 65nm technologies.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124503643","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Design Automation Standards: The IP Providers Perspective 设计自动化标准:IP提供商视角
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.140
J. Goodenough
Summary form only given. Design chain standards are all ultimately aimed to make the task of the design integration and manufacture of system on chip products more efficient, improving turn around time, and effective improving quality and yield. Dr Goodenough outlined the standardization activities in which ARM is currently involved {including those managed by Si2 Accellera, SPIRIT, JEDEC, Eclipse, OpenMax OpenGL} and their relevance to the issues of the IP supply chain. He discussed types of standards an their impact on IP. The presentation will also focus on some of the challenges in managing viable standards to broad market acceptance and the consequent need for an integrated roadmap between various standardization activities to give maximum benefit and leverage to the final end customers.
只提供摘要形式。设计链标准的最终目的都是为了使片上系统产品的设计集成和制造任务更加高效,缩短周转时间,有效地提高质量和良率。Goodenough博士概述了ARM目前参与的标准化活动(包括由Si2 Accellera、SPIRIT、JEDEC、Eclipse、OpenMax OpenGL管理的标准化活动)及其与IP供应链问题的相关性。他讨论了各种标准及其对知识产权的影响。该演讲还将重点讨论在管理可行标准以获得广泛市场接受方面的一些挑战,以及因此需要在各种标准化活动之间建立一个集成路线图,以便为最终终端客户提供最大的利益和杠杆。
{"title":"Design Automation Standards: The IP Providers Perspective","authors":"J. Goodenough","doi":"10.1109/VLSI.2008.140","DOIUrl":"https://doi.org/10.1109/VLSI.2008.140","url":null,"abstract":"Summary form only given. Design chain standards are all ultimately aimed to make the task of the design integration and manufacture of system on chip products more efficient, improving turn around time, and effective improving quality and yield. Dr Goodenough outlined the standardization activities in which ARM is currently involved {including those managed by Si2 Accellera, SPIRIT, JEDEC, Eclipse, OpenMax OpenGL} and their relevance to the issues of the IP supply chain. He discussed types of standards an their impact on IP. The presentation will also focus on some of the challenges in managing viable standards to broad market acceptance and the consequent need for an integrated roadmap between various standardization activities to give maximum benefit and leverage to the final end customers.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115096442","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Programming and Performance Modelling of Automotive ECU Networks 汽车ECU网络的编程与性能建模
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.131
S. Chakraborty, S. Ramesh
The last decade has seen a phenomenal increase in the use of electronic components in automotive systems, resulting in the replacement of purely mechanical or hydraulic-implementations of different functionalities. Today, in high-end cars, it is common to have around 70 electronic control units (ECUs), each consisting of programmable processors, one or more microcontrollers and a set of sensors and actuators. Different functionalities (e.g. adaptive cruise control or anti-lock braking) are then implemented in a distributed fashion with parts of a task being mapped onto one or more ECUs and these ECUs exchanging messages and signals via high-speed communication buses. The heterogeneity and the distributed nature of these implementations, coupled with the emergence of new standards and protocols for the automotive domain have given rise to new challenges - both in terms of programming large-scale ECU networks, as well as in evaluating their performance and timing properties. This tutorial will provide a comprehensive overview of the recent developments in this domain and also highlight some of the challenges facing embedded systems designers and programmers. The topics covered will include time-triggered architectures for implementing safety-critical applications, emerging protocols for the automotive domain such as FlexRay, techniques for performance and timing analysis of FlexRay-based ECU networks, and languages and tools for developing distributed implementations of automotive functionality around FlexRay and other related protocols. Apart from discussing the relevant protocols, languages and modelling/analysis techniques, the tutorial will also cover practical case studies and some commercially available tools and their functionality.
在过去的十年中,汽车系统中电子元件的使用显著增加,导致了不同功能的纯机械或液压实现的替换。今天,在高端汽车中,通常有大约70个电子控制单元(ecu),每个电子控制单元由可编程处理器、一个或多个微控制器以及一组传感器和执行器组成。不同的功能(例如自适应巡航控制或防抱死制动)然后以分布式方式实现,任务的一部分被映射到一个或多个ecu上,这些ecu通过高速通信总线交换消息和信号。这些实现的异构性和分布式特性,再加上汽车领域新标准和协议的出现,带来了新的挑战——无论是在编程大规模ECU网络方面,还是在评估其性能和定时特性方面。本教程将全面概述该领域的最新发展,并重点介绍嵌入式系统设计人员和程序员面临的一些挑战。课程主题将包括用于实现安全关键应用的时间触发架构、汽车领域的新兴协议(如FlexRay)、基于FlexRay的ECU网络的性能和时序分析技术,以及围绕FlexRay和其他相关协议开发汽车功能分布式实现的语言和工具。除了讨论相关的协议、语言和建模/分析技术外,本教程还将涵盖实际案例研究和一些商业上可用的工具及其功能。
{"title":"Programming and Performance Modelling of Automotive ECU Networks","authors":"S. Chakraborty, S. Ramesh","doi":"10.1109/VLSI.2008.131","DOIUrl":"https://doi.org/10.1109/VLSI.2008.131","url":null,"abstract":"The last decade has seen a phenomenal increase in the use of electronic components in automotive systems, resulting in the replacement of purely mechanical or hydraulic-implementations of different functionalities. Today, in high-end cars, it is common to have around 70 electronic control units (ECUs), each consisting of programmable processors, one or more microcontrollers and a set of sensors and actuators. Different functionalities (e.g. adaptive cruise control or anti-lock braking) are then implemented in a distributed fashion with parts of a task being mapped onto one or more ECUs and these ECUs exchanging messages and signals via high-speed communication buses. The heterogeneity and the distributed nature of these implementations, coupled with the emergence of new standards and protocols for the automotive domain have given rise to new challenges - both in terms of programming large-scale ECU networks, as well as in evaluating their performance and timing properties. This tutorial will provide a comprehensive overview of the recent developments in this domain and also highlight some of the challenges facing embedded systems designers and programmers. The topics covered will include time-triggered architectures for implementing safety-critical applications, emerging protocols for the automotive domain such as FlexRay, techniques for performance and timing analysis of FlexRay-based ECU networks, and languages and tools for developing distributed implementations of automotive functionality around FlexRay and other related protocols. Apart from discussing the relevant protocols, languages and modelling/analysis techniques, the tutorial will also cover practical case studies and some commercially available tools and their functionality.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115491182","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Analysis and Robust Design of Diode-Resistor Based Nanoscale Crossbar PLA Circuits 基于二极管-电阻器的纳米级横向PLA电路分析与稳健设计
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.44
R. Chakraborty, Somnath Paul, S. Bhunia
Logic circuit design with future nanoscale devices using dense and regular fabrics such as crossbar is promising in terms of integration density, performance and power dissipation. Among the emerging alternatives to CMOS, molecular electronics based "diode-resistor logic" has generated considerable interest in recent times. However, some major challenges associated with circuit design using molecular switches are: 1) high defect rate; 2) lack of voltage gain of these switches that prevent logic cascading; and 3) large output voltage level degradation that affect robustness of operation. In this paper, we analyze the issue of input-dependent logic level degradation in diode-resistor style molecular crossbar and develop a simple analytical model for fast and accurate estimation of logic level degradation in a circuit. We also propose a voltage level-aware circuit design technique that limits the worst-case output level degradation. We verify the model by SPICE simulation which shows an average absolute error of less than 2%. Moreover, the proposed design technique improves the logic degradation level from 27% to 7% on an average compared to conventional design.
在集成密度、性能和功耗方面,未来纳米级器件的逻辑电路设计采用密集和规则的织物,如横杆。在新兴的CMOS替代品中,基于分子电子学的“二极管-电阻逻辑”近年来引起了相当大的兴趣。然而,与使用分子开关的电路设计相关的一些主要挑战是:1)高缺陷率;2)这些开关缺乏防止逻辑级联的电压增益;3)输出电压电平下降大,影响运行稳健性。本文分析了二极管-电阻式分子交联中与输入相关的逻辑电平退化问题,并建立了一个简单的分析模型,用于快速准确地估计电路中的逻辑电平退化。我们还提出了一种电压电平感知电路设计技术,以限制最坏情况下的输出电平退化。通过SPICE仿真验证了该模型的正确性,平均绝对误差小于2%。此外,与传统设计相比,所提出的设计技术将逻辑退化水平平均从27%提高到7%。
{"title":"Analysis and Robust Design of Diode-Resistor Based Nanoscale Crossbar PLA Circuits","authors":"R. Chakraborty, Somnath Paul, S. Bhunia","doi":"10.1109/VLSI.2008.44","DOIUrl":"https://doi.org/10.1109/VLSI.2008.44","url":null,"abstract":"Logic circuit design with future nanoscale devices using dense and regular fabrics such as crossbar is promising in terms of integration density, performance and power dissipation. Among the emerging alternatives to CMOS, molecular electronics based \"diode-resistor logic\" has generated considerable interest in recent times. However, some major challenges associated with circuit design using molecular switches are: 1) high defect rate; 2) lack of voltage gain of these switches that prevent logic cascading; and 3) large output voltage level degradation that affect robustness of operation. In this paper, we analyze the issue of input-dependent logic level degradation in diode-resistor style molecular crossbar and develop a simple analytical model for fast and accurate estimation of logic level degradation in a circuit. We also propose a voltage level-aware circuit design technique that limits the worst-case output level degradation. We verify the model by SPICE simulation which shows an average absolute error of less than 2%. Moreover, the proposed design technique improves the logic degradation level from 27% to 7% on an average compared to conventional design.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122466464","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Temperature and Process Variations Aware Power Gating of Functional Units 功能单元的温度和工艺变化感知功率门控
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.83
D. Kannan, Aviral Shrivastava, V. Mohan, Sarvesh Bhardwaj, S. Vrudhula
Technology scaling has resulted in an exponential increase in the leakage power as well as the variations in leakage power of fabricated chips. Functional units (FUs), like Integer ALUs are regions of high power density and significantly contribute to the variation in the whole processor power consumption. Hence, it is important to reduce both the power consumption and the variation in power consumption of the FUs. Among existing FU power reduction techniques, power gating (PG) has been most effective. In this paper, we introduce a leakage sensor inside the FUs and propose a temperature and process variation aware power gating scheme, Leakage Aware Power Gating (LA-PG). Our experimental results demonstrate that LA-PG results in 22% reduction in mean and a 25% reduction in standard deviation of the ALU energy consumption when compared to existing power gating techniques, without significant performance penalty.
技术的规模化导致了泄漏功率呈指数级增长,同时也导致了芯片泄漏功率的变化。功能单元(FUs),如Integer alu,是高功率密度的区域,对整个处理器功耗的变化有很大影响。因此,降低FUs的功耗和功耗变化是很重要的。在现有的FU降功率技术中,功率门控(PG)是最有效的。在本文中,我们在FUs内部引入了一个泄漏传感器,并提出了一种温度和工艺变化感知功率门控方案,泄漏感知功率门控(LA-PG)。我们的实验结果表明,与现有的功率门控技术相比,LA-PG可以使ALU能耗的平均值降低22%,标准偏差降低25%,并且没有明显的性能损失。
{"title":"Temperature and Process Variations Aware Power Gating of Functional Units","authors":"D. Kannan, Aviral Shrivastava, V. Mohan, Sarvesh Bhardwaj, S. Vrudhula","doi":"10.1109/VLSI.2008.83","DOIUrl":"https://doi.org/10.1109/VLSI.2008.83","url":null,"abstract":"Technology scaling has resulted in an exponential increase in the leakage power as well as the variations in leakage power of fabricated chips. Functional units (FUs), like Integer ALUs are regions of high power density and significantly contribute to the variation in the whole processor power consumption. Hence, it is important to reduce both the power consumption and the variation in power consumption of the FUs. Among existing FU power reduction techniques, power gating (PG) has been most effective. In this paper, we introduce a leakage sensor inside the FUs and propose a temperature and process variation aware power gating scheme, Leakage Aware Power Gating (LA-PG). Our experimental results demonstrate that LA-PG results in 22% reduction in mean and a 25% reduction in standard deviation of the ALU energy consumption when compared to existing power gating techniques, without significant performance penalty.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124972643","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
期刊
21st International Conference on VLSI Design (VLSID 2008)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1