首页 > 最新文献

21st International Conference on VLSI Design (VLSID 2008)最新文献

英文 中文
Watermarking Video Clips with Workload Information for DVS 水印视频剪辑与负载信息的DVS
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.103
Yicheng Huang, S. Chakraborty, Ye Wang
We present a lightweight scheme for watermarking or annotating video clips with information describing the workload that would be incurred while decoding the clip. This information can be used at run time to scale the operating voltage/frequency of the processor on which the video clip is to be decoded. Our main contribution is a fast, low-cost bitstream analysis technique for estimating the decoding workload of a video clip. Using this technique the workload information can be inserted into a clip while it is being downloaded onto a battery-powered portable device from a desktop computer or a server, for later playback. In contrast to control-theoretic feedback techniques that have been traditionally used for predicting video decoding workload at runtime for dynamic voltage/frequency scaling, we show that our scheme performs better in terms of energy savings and has significantly lower buffer requirements.
我们提出了一种轻量级的方案,用于对视频片段进行水印或注释,其中包含描述解码片段时所产生的工作量的信息。该信息可以在运行时用于缩放要解码视频剪辑的处理器的工作电压/频率。我们的主要贡献是一种快速,低成本的比特流分析技术,用于估计视频剪辑的解码工作量。使用这种技术,工作负载信息可以在从台式计算机或服务器下载到电池供电的便携式设备时插入到剪辑中,以便稍后播放。与传统上用于预测运行时动态电压/频率缩放的视频解码工作量的控制理论反馈技术相比,我们的方案在节能方面表现更好,并且具有显着降低的缓冲要求。
{"title":"Watermarking Video Clips with Workload Information for DVS","authors":"Yicheng Huang, S. Chakraborty, Ye Wang","doi":"10.1109/VLSI.2008.103","DOIUrl":"https://doi.org/10.1109/VLSI.2008.103","url":null,"abstract":"We present a lightweight scheme for watermarking or annotating video clips with information describing the workload that would be incurred while decoding the clip. This information can be used at run time to scale the operating voltage/frequency of the processor on which the video clip is to be decoded. Our main contribution is a fast, low-cost bitstream analysis technique for estimating the decoding workload of a video clip. Using this technique the workload information can be inserted into a clip while it is being downloaded onto a battery-powered portable device from a desktop computer or a server, for later playback. In contrast to control-theoretic feedback techniques that have been traditionally used for predicting video decoding workload at runtime for dynamic voltage/frequency scaling, we show that our scheme performs better in terms of energy savings and has significantly lower buffer requirements.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131266287","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Fault-Tolerant Computing Using a Hybrid Nano-CMOS Architecture 基于混合纳米- cmos结构的容错计算
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.71
M. O. Simsir, S. Cadambi, Franjo Ivancic, M. Rötteler, N. Jha
Architectures based on nanoscale molecular devices are attracting attention for replacing CMOS architectures at the end of the semiconductor roadmap. The two most promising nanotechnologies, according to ITRS, are silicon nanowires and carbon nanotubes. Although they offer unmatched densities for building logic, interconnect and memory, they suffer from very defect-prone manufacturing processes. This is further exacerbated by testing complexities where it is nearly impossible to detect all defects in a large nanoscale chip. Furthermore, the small structures in nanoscale architectures are susceptible to transient faults which can produce arbitrary soft errors. As a result, fault tolerance is necessary to make nanoscale architectures practical and realistic. We propose an architecture that can tolerate a large number of undetected manufacturing faults as well as a large rate of transient faults. Our architecture is characterized by multiple levels of redundancy and majority voting to correct errors caused by such faults. A key aspect of the architecture is that it contains a judicious balance of both nanoscale and traditional CMOS components. A companion to the architecture is a compiler with heuristics tailored to quickly and compactly map logic onto partially defective components. Experimental results demonstrate the efficacy of the architecture.
基于纳米级分子器件的体系结构正在引起人们的关注,以取代半导体路线图末端的CMOS体系结构。根据ITRS的说法,两种最有前途的纳米技术是硅纳米线和碳纳米管。尽管它们为构建逻辑、互连和内存提供了无与伦比的密度,但它们的制造过程非常容易出现缺陷。这进一步加剧了测试的复杂性,因为几乎不可能检测到大型纳米级芯片中的所有缺陷。此外,纳米结构中的小结构容易受到瞬态故障的影响,从而产生任意的软误差。因此,容错是使纳米级架构实用和现实的必要条件。我们提出了一种能够容忍大量未检测到的制造故障和大量瞬态故障的体系结构。我们的体系结构的特点是多级冗余和多数投票,以纠正由此类错误引起的错误。该架构的一个关键方面是,它包含了纳米级和传统CMOS组件的明智平衡。与该体系结构配套的是一个编译器,该编译器具有经过定制的启发式,可以快速而紧凑地将逻辑映射到部分有缺陷的组件上。实验结果证明了该结构的有效性。
{"title":"Fault-Tolerant Computing Using a Hybrid Nano-CMOS Architecture","authors":"M. O. Simsir, S. Cadambi, Franjo Ivancic, M. Rötteler, N. Jha","doi":"10.1109/VLSI.2008.71","DOIUrl":"https://doi.org/10.1109/VLSI.2008.71","url":null,"abstract":"Architectures based on nanoscale molecular devices are attracting attention for replacing CMOS architectures at the end of the semiconductor roadmap. The two most promising nanotechnologies, according to ITRS, are silicon nanowires and carbon nanotubes. Although they offer unmatched densities for building logic, interconnect and memory, they suffer from very defect-prone manufacturing processes. This is further exacerbated by testing complexities where it is nearly impossible to detect all defects in a large nanoscale chip. Furthermore, the small structures in nanoscale architectures are susceptible to transient faults which can produce arbitrary soft errors. As a result, fault tolerance is necessary to make nanoscale architectures practical and realistic. We propose an architecture that can tolerate a large number of undetected manufacturing faults as well as a large rate of transient faults. Our architecture is characterized by multiple levels of redundancy and majority voting to correct errors caused by such faults. A key aspect of the architecture is that it contains a judicious balance of both nanoscale and traditional CMOS components. A companion to the architecture is a compiler with heuristics tailored to quickly and compactly map logic onto partially defective components. Experimental results demonstrate the efficacy of the architecture.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133376824","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Memory Architecture Exploration Framework for Cache Based Embedded SOC 基于缓存的嵌入式SOC内存架构探索框架
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.113
T. Kumar, C. Ravikumar, R. Govindarajan
Today's feature-rich multimedia products require embedded system solution with complex System-on-Chip (SoC) to meet market expectations of high performance at a low cost and lower energy consumption. The memory architecture of the embedded system strongly influences critical system design objectives like area, power and performance. Hence the embedded system designer performs a complete memory architecture exploration to custom design a memory architecture for a given set of applications. Further, the designer would be interested in multiple optimal design points to address various market segments. However, tight time-to-market constraints enforces short design cycle time. In this paper we address the multi-level multi-objective memory architecture exploration problem through a combination of exhaustive-search based memory exploration at the outer level and a two step based integrated data layout for SPRAM-Cache based architectures at the inner level. We present a two step integrated approach for data layout for SPRAM-Cache based hybrid architectures with the first step as data-partitioning that partitions data between SPRAM and Cache, and the second step is the cache conscious data layout. We formulate the cache-conscious data layout as a graph partitioning problem and show that our approach gives up to 34% improvement over an existing approach and also optimizes the off-chip memory address space. We experimented our approach with 3 embedded multimedia applications and our approach explores several hundred memory configurations for each application, yielding several optimal design points in a few hours of computation on a standard desktop.
当今功能丰富的多媒体产品需要具有复杂的片上系统(SoC)的嵌入式系统解决方案,以满足市场对低成本、低能耗的高性能的期望。嵌入式系统的内存架构强烈地影响着关键的系统设计目标,如面积、功耗和性能。因此,嵌入式系统设计人员执行完整的内存体系结构探索,为给定的一组应用程序定制设计内存体系结构。此外,设计师会对多个优化设计点感兴趣,以满足不同的细分市场。然而,严格的上市时间限制强制缩短了设计周期。在本文中,我们通过结合基于穷尽搜索的外部存储器探索和基于两步的基于SPRAM-Cache的内部结构的集成数据布局来解决多层次多目标存储器体系结构探索问题。我们提出了一种基于SPRAM-Cache混合架构的数据布局的两步集成方法,第一步是数据分区,在SPRAM和Cache之间划分数据,第二步是缓存感知数据布局。我们将缓存敏感的数据布局表述为一个图分区问题,并表明我们的方法比现有方法提高了34%,并且还优化了片外内存地址空间。我们用3个嵌入式多媒体应用程序试验了我们的方法,我们的方法为每个应用程序探索了几百个内存配置,在标准桌面上的几个小时的计算中产生了几个最佳设计点。
{"title":"Memory Architecture Exploration Framework for Cache Based Embedded SOC","authors":"T. Kumar, C. Ravikumar, R. Govindarajan","doi":"10.1109/VLSI.2008.113","DOIUrl":"https://doi.org/10.1109/VLSI.2008.113","url":null,"abstract":"Today's feature-rich multimedia products require embedded system solution with complex System-on-Chip (SoC) to meet market expectations of high performance at a low cost and lower energy consumption. The memory architecture of the embedded system strongly influences critical system design objectives like area, power and performance. Hence the embedded system designer performs a complete memory architecture exploration to custom design a memory architecture for a given set of applications. Further, the designer would be interested in multiple optimal design points to address various market segments. However, tight time-to-market constraints enforces short design cycle time. In this paper we address the multi-level multi-objective memory architecture exploration problem through a combination of exhaustive-search based memory exploration at the outer level and a two step based integrated data layout for SPRAM-Cache based architectures at the inner level. We present a two step integrated approach for data layout for SPRAM-Cache based hybrid architectures with the first step as data-partitioning that partitions data between SPRAM and Cache, and the second step is the cache conscious data layout. We formulate the cache-conscious data layout as a graph partitioning problem and show that our approach gives up to 34% improvement over an existing approach and also optimizes the off-chip memory address space. We experimented our approach with 3 embedded multimedia applications and our approach explores several hundred memory configurations for each application, yielding several optimal design points in a few hours of computation on a standard desktop.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133146007","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Compact Modeling of Suspended Gate FET 悬栅场效应管的紧凑建模
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.11
Y. Chauhan, D. Tsamados, N. Abelé, Christoph Eggimann, M. Declercq, A. Ionescu
For the first time, a compact model for suspended gate (SG) FET valid for entire bias range is proposed. The model is capable of simulating both pull-in and pull-out effects, which are the two important phenomena of this device. A novel hybrid numerical simulation approach combining ANSYS Multiphysics and ISE-DESSIS in a self-consistent system is developed. The model is then validated on this numerical device simulation of SGFET. The model shows excellent performance over the entire drain and gate voltage range. The model has been implemented in Verilog-A code and tested on ELDO and Spectre simulators, which makes it useful for circuit simulations using SGFET devices.
首次提出了一种适用于整个偏置范围的悬栅场效应管紧凑模型。该模型能够模拟该装置的两种重要现象——拉入效应和拉出效应。提出了一种结合ANSYS Multiphysics和ISE-DESSIS的自相容系统混合数值模拟方法。然后在SGFET的数值器件仿真上验证了该模型。该模型在整个漏极和栅极电压范围内均表现出优异的性能。该模型已在Verilog-A代码中实现,并在ELDO和Spectre模拟器上进行了测试,这使得它可以用于使用SGFET器件的电路仿真。
{"title":"Compact Modeling of Suspended Gate FET","authors":"Y. Chauhan, D. Tsamados, N. Abelé, Christoph Eggimann, M. Declercq, A. Ionescu","doi":"10.1109/VLSI.2008.11","DOIUrl":"https://doi.org/10.1109/VLSI.2008.11","url":null,"abstract":"For the first time, a compact model for suspended gate (SG) FET valid for entire bias range is proposed. The model is capable of simulating both pull-in and pull-out effects, which are the two important phenomena of this device. A novel hybrid numerical simulation approach combining ANSYS Multiphysics and ISE-DESSIS in a self-consistent system is developed. The model is then validated on this numerical device simulation of SGFET. The model shows excellent performance over the entire drain and gate voltage range. The model has been implemented in Verilog-A code and tested on ELDO and Spectre simulators, which makes it useful for circuit simulations using SGFET devices.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130301916","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
A Robust Architecture for Flip-Flops Tolerant to Soft-Errors and Transients from Combinational Circuits 一种抗组合电路软误差和瞬态的稳健触发器结构
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.99
Aditya Jagirdar, Roystein Oliveira, T. Chakraborty
Soft-errors are a leading cause of reliability issues during field operations. High-energy particles, either from cosmic rays or from impurities in the packaging material can disrupt charge stored on the internal node capacitances leading to a malfunction of the device. Although this is usually a temporary effect, it may lead to Silent Data Corruption(SDC) when not detected in time. SDC may be detrimental to many real-time commercial applications of the device and demands an effective solution that is cheap in terms of various design overheads. In this paper, we propose two novel flip-flop designs aimed at detecting and correcting soft-errors and transients from combinational circuits.Each design is optimized for a different set of constraints and they have area overheads of 40% and 21% as compared to the standard industrial design of a scan flip- flop.
在现场作业中,软错误是导致可靠性问题的主要原因。高能粒子,无论是来自宇宙射线还是来自包装材料中的杂质,都可能破坏存储在内部节点电容上的电荷,导致设备故障。虽然这通常是暂时的影响,但如果不及时发现,可能会导致静默数据损坏(SDC)。SDC可能对设备的许多实时商业应用有害,并且需要在各种设计开销方面便宜的有效解决方案。在本文中,我们提出了两种新颖的触发器设计,旨在检测和纠正组合电路的软误差和瞬态。每种设计都针对不同的约束条件进行了优化,与标准工业设计的扫描触发器相比,它们的面积开销分别为40%和21%。
{"title":"A Robust Architecture for Flip-Flops Tolerant to Soft-Errors and Transients from Combinational Circuits","authors":"Aditya Jagirdar, Roystein Oliveira, T. Chakraborty","doi":"10.1109/VLSI.2008.99","DOIUrl":"https://doi.org/10.1109/VLSI.2008.99","url":null,"abstract":"Soft-errors are a leading cause of reliability issues during field operations. High-energy particles, either from cosmic rays or from impurities in the packaging material can disrupt charge stored on the internal node capacitances leading to a malfunction of the device. Although this is usually a temporary effect, it may lead to Silent Data Corruption(SDC) when not detected in time. SDC may be detrimental to many real-time commercial applications of the device and demands an effective solution that is cheap in terms of various design overheads. In this paper, we propose two novel flip-flop designs aimed at detecting and correcting soft-errors and transients from combinational circuits.Each design is optimized for a different set of constraints and they have area overheads of 40% and 21% as compared to the standard industrial design of a scan flip- flop.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114015473","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Exhaustive Enumeration of Legal Custom Instructions for Extensible Processors 可扩展处理器的合法自定义指令的详尽枚举
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.93
N. Pothineni, Anshul Kumar, K. Paul
Today's customizable processors allow the to augment the base processor with custom accelerators. By choosing appropriate set of accelerators, designer can significantly enhance the performance and power of an application. Due to the large number of accelerator choices and their complex trade-offs among reuse, gain and area, manually deciding the optimal combination of accelerators is quite cumbersome and time consuming. This calls for CAD tools that select optimal combination of accelerators by thoroughly searching the entire design space. The term pattern is commonly used to represent the computation performed by a custom accelerator. In this paper, we propose an algorithm for rapidly enumerating all the legal patterns taking into account several constraints posed by a typical micro-architecture. The proposed algorithm achieves significant reduction in run-time by a) enumerating the patterns in the increasing order of sizes and b) relating the characteristics of a (k + 1) node pattern with the characteristics of its k node subgraphs. Also, in scenarios where I/O is not a bottleneck, designer can optionally relax the I/O constraint and our algorithm efficiently enumerates all legal I/O unbound legal patterns. The experimental evidence indicate an order of two run-time speedup over state of the art techniques.
如今的可定制处理器允许使用定制加速器来增强基本处理器。通过选择一组合适的加速器,设计人员可以显著提高应用程序的性能和功能。由于有大量的加速器选择,以及它们在重用、增益和面积之间的复杂权衡,手动决定加速器的最佳组合非常麻烦且耗时。这就要求CAD工具通过彻底搜索整个设计空间来选择加速器的最佳组合。术语模式通常用于表示自定义加速器执行的计算。在本文中,我们提出了一种算法,用于快速枚举所有合法模式,同时考虑到典型微体系结构所带来的几个约束。该算法通过a)按大小递增顺序枚举模式,b)将(k + 1)节点模式的特征与其k个节点子图的特征联系起来,显著减少了运行时间。此外,在I/O不是瓶颈的情况下,设计人员可以选择性地放松I/O约束,我们的算法可以有效地枚举所有合法的I/O未绑定的合法模式。实验证据表明,运行时速度比最先进的技术提高了两倍。
{"title":"Exhaustive Enumeration of Legal Custom Instructions for Extensible Processors","authors":"N. Pothineni, Anshul Kumar, K. Paul","doi":"10.1109/VLSI.2008.93","DOIUrl":"https://doi.org/10.1109/VLSI.2008.93","url":null,"abstract":"Today's customizable processors allow the to augment the base processor with custom accelerators. By choosing appropriate set of accelerators, designer can significantly enhance the performance and power of an application. Due to the large number of accelerator choices and their complex trade-offs among reuse, gain and area, manually deciding the optimal combination of accelerators is quite cumbersome and time consuming. This calls for CAD tools that select optimal combination of accelerators by thoroughly searching the entire design space. The term pattern is commonly used to represent the computation performed by a custom accelerator. In this paper, we propose an algorithm for rapidly enumerating all the legal patterns taking into account several constraints posed by a typical micro-architecture. The proposed algorithm achieves significant reduction in run-time by a) enumerating the patterns in the increasing order of sizes and b) relating the characteristics of a (k + 1) node pattern with the characteristics of its k node subgraphs. Also, in scenarios where I/O is not a bottleneck, designer can optionally relax the I/O constraint and our algorithm efficiently enumerates all legal I/O unbound legal patterns. The experimental evidence indicate an order of two run-time speedup over state of the art techniques.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129084832","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
OpenSPARC - A Scalable Chip Multi-Threading Design 一个可扩展的芯片多线程设计
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.136
Dwayne Lee
Summary form only given. This tutorial is about OpenSPARC and provides details on the first chip multi-threading 64-bit, 32-thread microprocessor made available as open source under the GNU General Public License (GPL).
只提供摘要形式。本教程是关于OpenSPARC的,并详细介绍了在GNU通用公共许可证(GPL)下作为开放源代码提供的第一个芯片多线程64位32线程微处理器。
{"title":"OpenSPARC - A Scalable Chip Multi-Threading Design","authors":"Dwayne Lee","doi":"10.1109/VLSI.2008.136","DOIUrl":"https://doi.org/10.1109/VLSI.2008.136","url":null,"abstract":"Summary form only given. This tutorial is about OpenSPARC and provides details on the first chip multi-threading 64-bit, 32-thread microprocessor made available as open source under the GNU General Public License (GPL).","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129150775","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Exploiting Variable Cycle Transmission for Energy-Efficient On-Chip Interconnect Design 利用变周期传输实现高效节能片上互连设计
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.15
T. V. Kalyan, M. Mutyam, V. Pasupureddi
As on-chip interconnect in deep-submicron designs contribute to the system-wide power consumption, minimization of interconnect power consumption has become one of the important design issues in deep-submicron technologies. As transition activity mainly determines the interconnect power consumption, several bus encoding techniques have been proposed to minimize the activity. Unlike the existing low-power or energy-efficient bus encoding techniques, in this paper, we propose a scheme which exploits both dynamic voltage scaling and variable cycle transmission mechanisms for minimizing on-chip interconnect energy consumption. We transmit data using variable cycle transmission method and, based on the delay savings achieved through variable cycle transmission method at regular intervals, scale the voltage and frequency to obtain significant energy savings. Using our technique for a 5 mm interconnect wire we achieved energy savings of 30% and 45% over the base case in the address bus and data bus, respectively. Our technique also reduces the energy-delay-product by 34% and 52% for address bus and data bus, respectively.
由于深亚微米设计中的片上互连对全系统功耗的贡献很大,因此互连功耗的最小化已成为深亚微米技术设计的重要问题之一。由于转换活动主要决定互连功耗,因此提出了几种总线编码技术来最小化转换活动。与现有的低功耗或节能总线编码技术不同,在本文中,我们提出了一种利用动态电压缩放和变周期传输机制来最小化片上互连能耗的方案。我们采用变周期传输方式进行数据传输,并在定期变周期传输方式节省时延的基础上,对电压和频率进行缩放,从而获得显著的节能效果。使用我们的5毫米互连线技术,我们分别在地址总线和数据总线的基本情况下实现了30%和45%的节能。该技术还将地址总线和数据总线的能量延迟积分别降低了34%和52%。
{"title":"Exploiting Variable Cycle Transmission for Energy-Efficient On-Chip Interconnect Design","authors":"T. V. Kalyan, M. Mutyam, V. Pasupureddi","doi":"10.1109/VLSI.2008.15","DOIUrl":"https://doi.org/10.1109/VLSI.2008.15","url":null,"abstract":"As on-chip interconnect in deep-submicron designs contribute to the system-wide power consumption, minimization of interconnect power consumption has become one of the important design issues in deep-submicron technologies. As transition activity mainly determines the interconnect power consumption, several bus encoding techniques have been proposed to minimize the activity. Unlike the existing low-power or energy-efficient bus encoding techniques, in this paper, we propose a scheme which exploits both dynamic voltage scaling and variable cycle transmission mechanisms for minimizing on-chip interconnect energy consumption. We transmit data using variable cycle transmission method and, based on the delay savings achieved through variable cycle transmission method at regular intervals, scale the voltage and frequency to obtain significant energy savings. Using our technique for a 5 mm interconnect wire we achieved energy savings of 30% and 45% over the base case in the address bus and data bus, respectively. Our technique also reduces the energy-delay-product by 34% and 52% for address bus and data bus, respectively.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128495948","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Gateway to Chips: High Speed I/O Signalling and Interface 网关到芯片:高速I/O信号和接口
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.121
N. Kumar, S. Velu, Rajan Verma
The design of inputs and outputs to integrated circuits has traditionally been a straightforward task involving procurement of a specification and its implementation. In the past few technology generations design and implementation of integrated circuit I/O's have become much more complex. Just as Moore's Law predicts that functions per chip will double every 1.5-2 years to keep up with consumer demand, there is a corresponding demand for processing electrical signals at progressively higher rates. The international technology roadmap for semiconductors (ITRS) predicts the I/O bandwidth (Gb/s) for high performance ASICs to be 30 Gb/s by the year 2015. Adding to the complexity is the need to conform to a plethora of emerging I/O specifications and continued focus on reliability regarding electro static discharge (ESD) and simultaneous switching noise (SSN), and the circuit designer has about as much challenges as one can stand. This tutorial presents the techniques and methods employed to build a low power, high bandwidth, highly reliable I/O. It covers the popular signaling standards like LVDS, DDR, XAUI and PCI-Express. Also to be covered are concepts of ESD and Signal Integrity. This section of the tutorial will cover the origins of ESD failures in chips, circuit and layout guidelines to avoid ESD failures and ESD testing procedures. Finally, the tutorial will give a detailed architectural overview of various emerging I/O's such as the DDR, LVDS, and the USB-PHY.
集成电路输入和输出的设计传统上是一项直接的任务,涉及采购规格及其实施。在过去的几代技术中,集成电路I/O的设计和实现变得更加复杂。正如摩尔定律所预测的那样,为了满足消费者的需求,每个芯片的功能将每1.5-2年翻一番,相应地,对以越来越高的速度处理电信号的需求也随之增加。国际半导体技术路线图(ITRS)预测,到2015年高性能asic的I/O带宽(Gb/s)将达到30 Gb/s。增加复杂性的是需要符合大量新兴的I/O规范,并持续关注有关静电放电(ESD)和同步开关噪声(SSN)的可靠性,电路设计人员面临着尽可能多的挑战。本教程介绍了用于构建低功耗、高带宽、高可靠I/O的技术和方法。它涵盖了LVDS、DDR、XAUI和PCI-Express等流行的信令标准。还将涵盖ESD和信号完整性的概念。本节教程将涵盖芯片、电路和布局指南中ESD故障的起源,以避免ESD故障和ESD测试程序。最后,本教程将给出各种新兴I/O(如DDR、LVDS和USB-PHY)的详细体系结构概述。
{"title":"Gateway to Chips: High Speed I/O Signalling and Interface","authors":"N. Kumar, S. Velu, Rajan Verma","doi":"10.1109/VLSI.2008.121","DOIUrl":"https://doi.org/10.1109/VLSI.2008.121","url":null,"abstract":"The design of inputs and outputs to integrated circuits has traditionally been a straightforward task involving procurement of a specification and its implementation. In the past few technology generations design and implementation of integrated circuit I/O's have become much more complex. Just as Moore's Law predicts that functions per chip will double every 1.5-2 years to keep up with consumer demand, there is a corresponding demand for processing electrical signals at progressively higher rates. The international technology roadmap for semiconductors (ITRS) predicts the I/O bandwidth (Gb/s) for high performance ASICs to be 30 Gb/s by the year 2015. Adding to the complexity is the need to conform to a plethora of emerging I/O specifications and continued focus on reliability regarding electro static discharge (ESD) and simultaneous switching noise (SSN), and the circuit designer has about as much challenges as one can stand. This tutorial presents the techniques and methods employed to build a low power, high bandwidth, highly reliable I/O. It covers the popular signaling standards like LVDS, DDR, XAUI and PCI-Express. Also to be covered are concepts of ESD and Signal Integrity. This section of the tutorial will cover the origins of ESD failures in chips, circuit and layout guidelines to avoid ESD failures and ESD testing procedures. Finally, the tutorial will give a detailed architectural overview of various emerging I/O's such as the DDR, LVDS, and the USB-PHY.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128612551","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Incorporating PVT Variations in System-Level Power Exploration of On-Chip Communication Architectures 在片上通信架构的系统级功率探索中纳入PVT变化
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.14
S. Pasricha, Young-Hwan Park, F. Kurdahi, N. Dutt
With the shift towards deep sub-micron (DSM) technologies, the increase in leakage power and the adoption of power-aware design methodologies have resulted in potentially significant variations in power consumption under different process, voltage and temperature (PVT) corners. In this paper, we first investigate the impact of PVT corners on power consumption at the System-on-Chip (SoC) level, especially for the on-chip communication infrastructure. Given a target technology library, we then show how it is possible to "scale up" and abstract the PVT variability at the system level, allowing characterization of the PVT-aware design space early in the design flow. We conducted several experiments to estimate power for PVT corner cases, at the gate-level, as well as at the higher system-level. Our preliminary results are very interesting and indicate that: (i) there are significant variations in power consumption across PVT corners, and (ii) the PVT-aware power estimation problem may be amenable to a reasonably simple abstraction at the system-level.
随着向深亚微米(DSM)技术的转变,泄漏功率的增加和功耗感知设计方法的采用导致了不同工艺、电压和温度(PVT)角下功耗的潜在显著变化。在本文中,我们首先研究了PVT角对片上系统(SoC)级功耗的影响,特别是对片上通信基础设施的影响。给定一个目标技术库,然后我们展示了如何在系统级别“扩展”和抽象PVT可变性,从而允许在设计流程的早期对PVT感知的设计空间进行表征。我们进行了几个实验来估计PVT在门级和更高的系统级边缘情况下的功率。我们的初步结果非常有趣,并表明:(i) PVT各个角落的功耗存在显著差异,(ii) PVT感知功率估计问题可能适用于系统级的合理简单抽象。
{"title":"Incorporating PVT Variations in System-Level Power Exploration of On-Chip Communication Architectures","authors":"S. Pasricha, Young-Hwan Park, F. Kurdahi, N. Dutt","doi":"10.1109/VLSI.2008.14","DOIUrl":"https://doi.org/10.1109/VLSI.2008.14","url":null,"abstract":"With the shift towards deep sub-micron (DSM) technologies, the increase in leakage power and the adoption of power-aware design methodologies have resulted in potentially significant variations in power consumption under different process, voltage and temperature (PVT) corners. In this paper, we first investigate the impact of PVT corners on power consumption at the System-on-Chip (SoC) level, especially for the on-chip communication infrastructure. Given a target technology library, we then show how it is possible to \"scale up\" and abstract the PVT variability at the system level, allowing characterization of the PVT-aware design space early in the design flow. We conducted several experiments to estimate power for PVT corner cases, at the gate-level, as well as at the higher system-level. Our preliminary results are very interesting and indicate that: (i) there are significant variations in power consumption across PVT corners, and (ii) the PVT-aware power estimation problem may be amenable to a reasonably simple abstraction at the system-level.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116974569","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
期刊
21st International Conference on VLSI Design (VLSID 2008)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1