首页 > 最新文献

21st International Conference on VLSI Design (VLSID 2008)最新文献

英文 中文
A Robust Top-Down Dynamic Power Estimation Methodology for Delay Constrained Register Transfer Level Sequential Circuits 时延约束寄存器传输级顺序电路的鲁棒自顶向下动态功率估计方法
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.56
Sriram Sambamurthy, J. Abraham, R. Tupuri
We present a top-down dynamic power estimation methodology for delay constrained sequential circuits. The methodology works at the register transfer level (RT-Level), and applies to both structural and behavioral descriptions of circuits. The average power consumption of a circuit varies with the worst case cycle-time or frequency of operation. As the cycle-time is reduced, the increase in the capacitance of the circuit due to technology mapping and optimization is captured by our technique at the RT-Level using the principles of logical effort. Switching activity is obtained at the RT-Level visible nodes through RT-Level functional simulation. This information is utilized to approximate the activities at the remaining nodes of the circuit and combined with capacitance to estimate dynamic power. Power estimation results for RT-Level sequential circuits indicate good accuracy (average error<10%) with respect to the reference values obtained by detailed gate-level power analysis. The power consumed by a circuit varies with the target library and technology. Our methodology is parameterizable and the results obtained for different target libraries at 0.18 mum TSMC and 0.13 mum UMC technologies are consistent, indicating the robustness of our technique. The applicability of our methodology in design frameworks consisting of bottom-up techniques is also discussed.
提出了一种自顶向下的时延约束顺序电路动态功率估计方法。该方法在寄存器转移级(rt级)工作,并适用于电路的结构和行为描述。电路的平均功耗随最坏情况下的周期时间或工作频率而变化。随着循环时间的减少,由于技术映射和优化而导致的电路电容的增加被我们的技术在rt级使用逻辑努力原则捕获。通过RT-Level功能仿真,在RT-Level可见节点上获得切换活动。利用这些信息来估计电路剩余节点的活动,并结合电容来估计动态功率。rt级时序电路的功率估计结果表明,与详细的门级功率分析得到的参考值相比,精度较高(平均误差<10%)。电路所消耗的功率随目标库和技术的不同而不同。我们的方法是可参数化的,并且在0.18 μ m TSMC和0.13 μ m UMC技术下获得的不同目标库的结果是一致的,表明我们的技术具有鲁棒性。本文还讨论了我们的方法在由自下而上技术组成的设计框架中的适用性。
{"title":"A Robust Top-Down Dynamic Power Estimation Methodology for Delay Constrained Register Transfer Level Sequential Circuits","authors":"Sriram Sambamurthy, J. Abraham, R. Tupuri","doi":"10.1109/VLSI.2008.56","DOIUrl":"https://doi.org/10.1109/VLSI.2008.56","url":null,"abstract":"We present a top-down dynamic power estimation methodology for delay constrained sequential circuits. The methodology works at the register transfer level (RT-Level), and applies to both structural and behavioral descriptions of circuits. The average power consumption of a circuit varies with the worst case cycle-time or frequency of operation. As the cycle-time is reduced, the increase in the capacitance of the circuit due to technology mapping and optimization is captured by our technique at the RT-Level using the principles of logical effort. Switching activity is obtained at the RT-Level visible nodes through RT-Level functional simulation. This information is utilized to approximate the activities at the remaining nodes of the circuit and combined with capacitance to estimate dynamic power. Power estimation results for RT-Level sequential circuits indicate good accuracy (average error<10%) with respect to the reference values obtained by detailed gate-level power analysis. The power consumed by a circuit varies with the target library and technology. Our methodology is parameterizable and the results obtained for different target libraries at 0.18 mum TSMC and 0.13 mum UMC technologies are consistent, indicating the robustness of our technique. The applicability of our methodology in design frameworks consisting of bottom-up techniques is also discussed.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132269756","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Scan Delay Testing of Nanometer SoCs 纳米soc的扫描延迟测试
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.134
A. Singh
Delay defects that degrade performance and cause timing related reliability failures are emerging to be a major concern in nanometer technologies. Extensive at-speed functional testing to screen out such defects can be prohibitively expensive. Scan based structural delay tests are being pursued as a possible cost effective solution to this problem. However, recent research indicates that several formidable challenges must be overcome before such an approach can be fully effective. These include poor delay test coverage, and inaccuracies in the observed circuit timing due to false paths, power supply noise, clock stretching etc. This tutorial aims at a comprehensive discussion of these challenges and proposed solutions, aided by data from recently published industrial studies from Intel, IBM. TI, Freescale, LSI Logic, and universities.
延迟缺陷会降低性能并导致与时间相关的可靠性故障,这是纳米技术中出现的主要问题。为了筛除这些缺陷而进行的大量高速功能测试可能会非常昂贵。基于扫描的结构延迟测试正在寻求一种可能的经济有效的解决方案来解决这个问题。然而,最近的研究表明,在这种方法能够完全有效之前,必须克服几个艰巨的挑战。这些包括低延迟测试覆盖率,以及由于假路径,电源噪声,时钟拉伸等导致的观察电路时序不准确。本教程旨在全面讨论这些挑战和建议的解决方案,并辅以英特尔、IBM最近发表的工业研究数据。TI,飞思卡尔,LSI逻辑,和大学。
{"title":"Scan Delay Testing of Nanometer SoCs","authors":"A. Singh","doi":"10.1109/VLSI.2008.134","DOIUrl":"https://doi.org/10.1109/VLSI.2008.134","url":null,"abstract":"Delay defects that degrade performance and cause timing related reliability failures are emerging to be a major concern in nanometer technologies. Extensive at-speed functional testing to screen out such defects can be prohibitively expensive. Scan based structural delay tests are being pursued as a possible cost effective solution to this problem. However, recent research indicates that several formidable challenges must be overcome before such an approach can be fully effective. These include poor delay test coverage, and inaccuracies in the observed circuit timing due to false paths, power supply noise, clock stretching etc. This tutorial aims at a comprehensive discussion of these challenges and proposed solutions, aided by data from recently published industrial studies from Intel, IBM. TI, Freescale, LSI Logic, and universities.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116423130","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Threshold Voltage Control through Multiple Supply Voltages for Power-Efficient FinFET Interconnects 通过多电源电压控制低功耗FinFET互连的阈值电压
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.117
Anish Muttreja, Prateek Mishra, N. Jha
In modern circuits, interconnect efficiency is a central determinant of circuit efficiency. Moreover, as technology is scaled down, the importance of efficient interconnect design is increasing. In this paper, we explore an option for low-power interconnect synthesis at the 32 nm node and beyond, using fin-type field-effect transistors (FinFETs) which are a promising substitute for bulk CMOS at the considered gate lengths. We consider a previously-unexplored mechanism for improving FinFET efficiency, called threshold voltage control through multiple supply voltages (TCMS), which is significantly different from conventional multiple-supply voltage schemes. We develop a circuit design for a FinFET buffer using TCMS. We describe a variation of van Ginneken's classic dynamic programming framework for solving the problem of power-optimal TCMS buffer insertion on a given routing tree. We show that, on an average, TCMS can provide power savings of 50.41% and device area savings of 9.17% compared to a state-of-the-art dual-Vdd interconnect synthesis scheme.
在现代电路中,互连效率是电路效率的主要决定因素。此外,随着技术规模的缩小,高效互连设计的重要性也在增加。在本文中,我们探索了在32nm节点及以上的低功耗互连合成的选择,使用鳍型场效应晶体管(finfet),这是在考虑的栅极长度上块状CMOS的有前途的替代品。我们考虑了一种以前未被探索的提高FinFET效率的机制,即通过多电源电压(TCMS)进行阈值电压控制,这与传统的多电源电压方案有很大不同。我们开发了一种使用TCMS的FinFET缓冲器的电路设计。我们描述了van Ginneken经典动态规划框架的一个变体,用于解决给定路由树上功率最优的TCMS缓冲区插入问题。我们表明,与最先进的双vdd互连合成方案相比,TCMS平均可以节省50.41%的功耗和9.17%的器件面积。
{"title":"Threshold Voltage Control through Multiple Supply Voltages for Power-Efficient FinFET Interconnects","authors":"Anish Muttreja, Prateek Mishra, N. Jha","doi":"10.1109/VLSI.2008.117","DOIUrl":"https://doi.org/10.1109/VLSI.2008.117","url":null,"abstract":"In modern circuits, interconnect efficiency is a central determinant of circuit efficiency. Moreover, as technology is scaled down, the importance of efficient interconnect design is increasing. In this paper, we explore an option for low-power interconnect synthesis at the 32 nm node and beyond, using fin-type field-effect transistors (FinFETs) which are a promising substitute for bulk CMOS at the considered gate lengths. We consider a previously-unexplored mechanism for improving FinFET efficiency, called threshold voltage control through multiple supply voltages (TCMS), which is significantly different from conventional multiple-supply voltage schemes. We develop a circuit design for a FinFET buffer using TCMS. We describe a variation of van Ginneken's classic dynamic programming framework for solving the problem of power-optimal TCMS buffer insertion on a given routing tree. We show that, on an average, TCMS can provide power savings of 50.41% and device area savings of 9.17% compared to a state-of-the-art dual-Vdd interconnect synthesis scheme.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129517472","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
Energy-Efficient, High Performance Circuits for Arithmetic Units 高能效、高性能算术单元电路
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.49
S. Agarwal, K. PavankumarV., R. Yokesh
Adders and multipliers are the most important arithmetic units in a general microprocessor and the major source of power dissipation. Various architecture styles exist to implement these units, each having their own merits and demerits. However, due to continuing integrating intensity and growing needs of portable devices, low power design is of prime importance. In addition, much power is dissipated due to a large number of spurious transitions on internal nodes in power hungry multiplier structures. We present a new full adder structure based on complementary pass transistor logic (CPL) which is faster and more energy efficient than the existing structures. We also propose a new technique of implementing multiplier circuit using decomposition logic which improves speed and reduces power consumption by reducing the spurious transitions on internal nodes. Combined with the new adder structure and the decomposition logic, there is substantial improvement in the performance of the multiplier structures. With the help of these state of the art designs, it would be possible to design highly power efficient processors, especially digital signal processors. We have used TSPICE for simulation in the TSMC 180 nm technology.
加法器和乘法器是通用微处理器中最重要的运算单元,也是功耗的主要来源。实现这些单元的架构风格多种多样,每种风格都有自己的优点和缺点。然而,由于持续的集成强度和便携式设备的需求不断增长,低功耗设计是首要的。此外,在功耗高的乘法器结构中,由于内部节点上的大量虚假转换,导致大量功率耗散。提出了一种基于互补通型晶体管逻辑(CPL)的全加法器结构,该结构比现有结构更快、更节能。我们还提出了一种利用分解逻辑实现乘法器电路的新技术,该技术通过减少内部节点上的杂散跃迁来提高速度并降低功耗。结合新的加法器结构和分解逻辑,乘法器结构的性能有了实质性的提高。借助这些最先进的设计,可以设计出高效的处理器,特别是数字信号处理器。我们使用TSPICE在台积电180纳米技术中进行了模拟。
{"title":"Energy-Efficient, High Performance Circuits for Arithmetic Units","authors":"S. Agarwal, K. PavankumarV., R. Yokesh","doi":"10.1109/VLSI.2008.49","DOIUrl":"https://doi.org/10.1109/VLSI.2008.49","url":null,"abstract":"Adders and multipliers are the most important arithmetic units in a general microprocessor and the major source of power dissipation. Various architecture styles exist to implement these units, each having their own merits and demerits. However, due to continuing integrating intensity and growing needs of portable devices, low power design is of prime importance. In addition, much power is dissipated due to a large number of spurious transitions on internal nodes in power hungry multiplier structures. We present a new full adder structure based on complementary pass transistor logic (CPL) which is faster and more energy efficient than the existing structures. We also propose a new technique of implementing multiplier circuit using decomposition logic which improves speed and reduces power consumption by reducing the spurious transitions on internal nodes. Combined with the new adder structure and the decomposition logic, there is substantial improvement in the performance of the multiplier structures. With the help of these state of the art designs, it would be possible to design highly power efficient processors, especially digital signal processors. We have used TSPICE for simulation in the TSMC 180 nm technology.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127066225","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 42
Industry Standards from Accellera Accellera的行业标准
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.123
S. Mehta
Accellera's (www.accellera.orq) mission is to drive worldwide development and use of standards required by systems, semiconductors and design tools companies, which enhance a language based design automation process. Overview of different standards from Accellera and how they fit into the design flow process will be presented. Status of various technical sub-committees like Open Compression Interface (OCI), Unified Power Format (UPF), Unified Coverage Interoperatbility (UCIS) and Open Verification Library(OVL) will also be covered.
Accellera (www.accellera.orq)的使命是推动系统、半导体和设计工具公司所需标准的全球开发和使用,从而增强基于语言的设计自动化过程。本文将概述来自Accellera的不同标准,以及它们如何适应设计流程。各技术小组委员会的现状,如开放压缩接口(OCI),统一电源格式(UPF),统一覆盖互操作性(UCIS)和开放验证库(OVL)也将被涵盖。
{"title":"Industry Standards from Accellera","authors":"S. Mehta","doi":"10.1109/VLSI.2008.123","DOIUrl":"https://doi.org/10.1109/VLSI.2008.123","url":null,"abstract":"Accellera's (www.accellera.orq) mission is to drive worldwide development and use of standards required by systems, semiconductors and design tools companies, which enhance a language based design automation process. Overview of different standards from Accellera and how they fit into the design flow process will be presented. Status of various technical sub-committees like Open Compression Interface (OCI), Unified Power Format (UPF), Unified Coverage Interoperatbility (UCIS) and Open Verification Library(OVL) will also be covered.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130536726","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A New Approach for Estimation of On-Resistance and Current Distribution in Power Array Layouts 功率阵列布局中导通电阻和电流分布估计的新方法
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.87
J. Ghosh, S. Mukhopadhyay, A. Patra, B. Culpepper, Tawen Mei
This paper presents an accurate and fast technique for the estimation of on-resistance (RDS(on)) of large lateral power MOSFET switch layouts in on-chip DC-DC converter and determination of the current distribution pattern in the switch layouts. In the proposed approach an extracted netlist is created which consists of the lumped parasitic resistances formed in the metal interconnects and the MOS devices present in the layout. The extracted resistance values are computed from the metal geometry using models that relate resistance values to the geometric patterns in the layout. This approach exploits the highly symmetric and repetitive pattern of power MOSFET layouts to generate the resistance netlist efficiently. Similarly the modeling of very high W/L MOS finger channels is also described in this paper. Results from the numerical experiments show that the extracted resistances are within 2.6% of results obtained from standard FEM solver tool ANSYS.
本文提出了一种准确、快速地估计片上DC-DC变换器中大侧向功率MOSFET开关布局导通电阻(RDS)和确定开关布局中电流分布模式的技术。在提出的方法中,创建了一个提取的网表,该网表由金属互连中形成的集总寄生电阻和布局中存在的MOS器件组成。提取的电阻值是使用将电阻值与布局中的几何图案相关联的模型从金属几何形状中计算出来的。该方法利用功率MOSFET布局的高度对称和重复模式来有效地生成电阻网表。同样,本文还描述了高W/L MOS手指通道的建模。数值实验结果表明,所提取的阻力与标准有限元求解工具ANSYS计算结果的误差在2.6%以内。
{"title":"A New Approach for Estimation of On-Resistance and Current Distribution in Power Array Layouts","authors":"J. Ghosh, S. Mukhopadhyay, A. Patra, B. Culpepper, Tawen Mei","doi":"10.1109/VLSI.2008.87","DOIUrl":"https://doi.org/10.1109/VLSI.2008.87","url":null,"abstract":"This paper presents an accurate and fast technique for the estimation of on-resistance (RDS(on)) of large lateral power MOSFET switch layouts in on-chip DC-DC converter and determination of the current distribution pattern in the switch layouts. In the proposed approach an extracted netlist is created which consists of the lumped parasitic resistances formed in the metal interconnects and the MOS devices present in the layout. The extracted resistance values are computed from the metal geometry using models that relate resistance values to the geometric patterns in the layout. This approach exploits the highly symmetric and repetitive pattern of power MOSFET layouts to generate the resistance netlist efficiently. Similarly the modeling of very high W/L MOS finger channels is also described in this paper. Results from the numerical experiments show that the extracted resistances are within 2.6% of results obtained from standard FEM solver tool ANSYS.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132863809","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Optimal Dual-VT Design in Sub-100 Nanometer PDSOI and Double-Gate Technologies 亚100纳米PDSOI和双栅技术的最佳双vt设计
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.50
A. Bansal, Jae-Joon Kim, Keunwoo Kim, S. Mukhopadhyay, C. Chuang, K. Roy
Dual-VT CMOS is an effective way to reduce leakage power in high-performance VLSI circuits. In this paper, we explore the technology design space for dual-threshold voltage transistor design in deep sub-100 nm technology nodes. We propose a technique of achieving high-VT devices - longer gate sidewall offset spacers to increase the channel length without increasing the printed gate length. Effectiveness of all the dual-VT technology options - increasing channel doping, increasing gate length and proposed technique of increasing spacer thickness - are analyzed at transistor to basic logic gate level. Results indicate that the proposed technique yields lower dynamic power consumption and lower performance penalty compared with longer gate length and high body doping devices. Our proposed technique, however, incurs extra fabrication mask similar to high-VT by increasing body doping.
双vt CMOS是降低高性能VLSI电路漏功率的有效途径。在本文中,我们探索了双阈值电压晶体管设计在深度亚100纳米技术节点的技术设计空间。我们提出了一种实现高vt器件的技术-更长的栅极侧壁偏移间隔,以增加通道长度而不增加印刷栅极长度。在晶体管至基本逻辑栅极水平上,分析了所有双vt技术选项(增加通道掺杂、增加栅极长度和增加间隔层厚度)的有效性。结果表明,与长栅极长度和高掺杂器件相比,该技术具有更低的动态功耗和更低的性能损失。然而,我们提出的技术通过增加体掺杂来增加类似于高vt的额外制造掩膜。
{"title":"Optimal Dual-VT Design in Sub-100 Nanometer PDSOI and Double-Gate Technologies","authors":"A. Bansal, Jae-Joon Kim, Keunwoo Kim, S. Mukhopadhyay, C. Chuang, K. Roy","doi":"10.1109/VLSI.2008.50","DOIUrl":"https://doi.org/10.1109/VLSI.2008.50","url":null,"abstract":"Dual-VT CMOS is an effective way to reduce leakage power in high-performance VLSI circuits. In this paper, we explore the technology design space for dual-threshold voltage transistor design in deep sub-100 nm technology nodes. We propose a technique of achieving high-VT devices - longer gate sidewall offset spacers to increase the channel length without increasing the printed gate length. Effectiveness of all the dual-VT technology options - increasing channel doping, increasing gate length and proposed technique of increasing spacer thickness - are analyzed at transistor to basic logic gate level. Results indicate that the proposed technique yields lower dynamic power consumption and lower performance penalty compared with longer gate length and high body doping devices. Our proposed technique, however, incurs extra fabrication mask similar to high-VT by increasing body doping.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131976306","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A Power Efficient Approach to Fault-Tolerant Register File Design 一种低功耗的容错寄存器文件设计方法
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.53
Mojtaba Amiri-Kamalabad, S. Miremadi, M. Fazeli
Recently, the trade-off between power consumption and fault tolerance in embedded processors has been highlighted. This paper proposes an approach to reduce dynamic power of conventional high-level fault-tolerant techniques used in the register file of processors, without affecting the effectiveness of the fault-tolerant techniques. The power reduction is based on the reduction of dynamic power of the unaccessed parts of the register file. This approach is applied to three transient fault-tolerant techniques: single error correction (SEC) Hamming code, duplication with parity, and triple modular redundancy (TMR). As a case study, this approach is implemented on the register file of an OpenRISC 1200 processor. The experimental calculation of the power consumption shows that the proposed approach saves about 67%, 62%, and 58% power for TMR, duplication with parity, and SEC Hamming code, respectively.
近年来,嵌入式处理器在功耗和容错性之间的权衡成为人们关注的焦点。提出了一种在不影响容错技术有效性的前提下,降低处理器寄存器文件中使用的传统高级容错技术的动态功率的方法。功耗降低是基于寄存器文件中未访问部分的动态功耗降低。该方法应用于三种瞬态容错技术:单错误校正(SEC)汉明码、带奇偶校验的复制和三模冗余(TMR)。作为一个案例研究,该方法在OpenRISC 1200处理器的寄存器文件上实现。功耗实验计算表明,该方法对TMR码、带奇偶校验的重复码和SEC汉明码分别节省67%、62%和58%的功耗。
{"title":"A Power Efficient Approach to Fault-Tolerant Register File Design","authors":"Mojtaba Amiri-Kamalabad, S. Miremadi, M. Fazeli","doi":"10.1109/VLSI.2008.53","DOIUrl":"https://doi.org/10.1109/VLSI.2008.53","url":null,"abstract":"Recently, the trade-off between power consumption and fault tolerance in embedded processors has been highlighted. This paper proposes an approach to reduce dynamic power of conventional high-level fault-tolerant techniques used in the register file of processors, without affecting the effectiveness of the fault-tolerant techniques. The power reduction is based on the reduction of dynamic power of the unaccessed parts of the register file. This approach is applied to three transient fault-tolerant techniques: single error correction (SEC) Hamming code, duplication with parity, and triple modular redundancy (TMR). As a case study, this approach is implemented on the register file of an OpenRISC 1200 processor. The experimental calculation of the power consumption shows that the proposed approach saves about 67%, 62%, and 58% power for TMR, duplication with parity, and SEC Hamming code, respectively.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131006686","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
An Inversion-Based Synthesis Approach for Area and Power Efficient Arithmetic Sum-of-Products 一种基于反演的面积与功耗高效算术积和综合方法
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.18
Sabyasachi Das, S. Khatri
In state-of-the-art digital signal processing (DSP) and graphics applications, the arithmetic sum-of-product (SOP) is an important and computationally intensive operation, consuming a significant amount of area, delay and power. This paper presents a new algorithmic approach to synthesize a non-timing critical SOP block in an area-efficient and power-efficient way, which can be very useful to reduce the size and power consumption of the non timing-critical portion in the design. We have divided the problem of generating the SOP into three parts: inversion-based creation of the BitClusters (sets of individual partial-product bits, which belong to the ith bitslice), propagation-based reduction of the BitClusters and selective-inversion based computation of the final sum result. Techniques used in these three steps help to reduce the implementation area and power consumption for the SOP block. Our experimental data shows that the SOP block generated by our approach is significantly smaller (8.59% on average) and marginally faster (0.42% on average) than the SOP block generated by a commercially available best-in-class datapath synthesis tool. In addition, our proposed SOP netlist consumes significantly less dynamic power (7.92% on average) and leakage power (5.65% on average) than the netlist generated by the synthesis tool. These improvements were verified on placed-and-routed designs as well.
在最先进的数字信号处理(DSP)和图形应用中,算术乘积和(SOP)是一个重要的计算密集型操作,消耗大量的面积,延迟和功耗。本文提出了一种合成非定时关键SOP块的新算法,该算法可以有效地减少非定时关键部分的尺寸和功耗。我们将生成SOP的问题分为三个部分:基于反转的BitClusters创建(属于第i位片的单个部分积位的集合),基于传播的BitClusters约简以及基于选择性反转的最终和结果计算。在这三个步骤中使用的技术有助于减少SOP块的实现面积和功耗。我们的实验数据表明,与商业上最好的数据路径合成工具生成的SOP块相比,我们的方法生成的SOP块明显更小(平均8.59%),速度略快(平均0.42%)。此外,我们提出的SOP网表消耗的动态功率(平均7.92%)和泄漏功率(平均5.65%)明显低于合成工具生成的网表。这些改进也在放置和路由设计上得到了验证。
{"title":"An Inversion-Based Synthesis Approach for Area and Power Efficient Arithmetic Sum-of-Products","authors":"Sabyasachi Das, S. Khatri","doi":"10.1109/VLSI.2008.18","DOIUrl":"https://doi.org/10.1109/VLSI.2008.18","url":null,"abstract":"In state-of-the-art digital signal processing (DSP) and graphics applications, the arithmetic sum-of-product (SOP) is an important and computationally intensive operation, consuming a significant amount of area, delay and power. This paper presents a new algorithmic approach to synthesize a non-timing critical SOP block in an area-efficient and power-efficient way, which can be very useful to reduce the size and power consumption of the non timing-critical portion in the design. We have divided the problem of generating the SOP into three parts: inversion-based creation of the BitClusters (sets of individual partial-product bits, which belong to the ith bitslice), propagation-based reduction of the BitClusters and selective-inversion based computation of the final sum result. Techniques used in these three steps help to reduce the implementation area and power consumption for the SOP block. Our experimental data shows that the SOP block generated by our approach is significantly smaller (8.59% on average) and marginally faster (0.42% on average) than the SOP block generated by a commercially available best-in-class datapath synthesis tool. In addition, our proposed SOP netlist consumes significantly less dynamic power (7.92% on average) and leakage power (5.65% on average) than the netlist generated by the synthesis tool. These improvements were verified on placed-and-routed designs as well.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122221972","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A Modeling of a Dynamically Reconfigurable Processor Using SystemC 基于SystemC的动态可重构处理器建模
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.13
J. Kitamichi, K. Ueda, Kenichi Kuroda
Recently, dynamically reconfigurable processors (DRPs) have been proposed. In this paper, we describe a model of a DRP using a dynamic module library (DML), which we have developed for the modeling of general-purpose dynamically reconfigurable systems. The DML is an extended SystemC library and enables the modeling of the dynamic generation and elimination of modules, ports and channels and the dynamic connection and dispatch between port and channel. Using the DML, we can model the DRP naturally. The architecture of the proposed DRP is based on an MlPS-type architecture and supports the instructions, which are for the dynamically reconfigurable operational units and for their generation and elimination. We describe the proposed DRP model and its evaluation results.
近年来,动态可重构处理器(DRPs)被提出。在本文中,我们使用动态模块库(DML)描述了一个DRP模型,该模型是我们为通用动态可重构系统建模而开发的。DML是一个扩展的SystemC库,可以对模块、端口和通道的动态生成和消除以及端口和通道之间的动态连接和调度进行建模。使用DML,我们可以自然地对DRP建模。所提出的DRP的体系结构基于mlps型体系结构,支持用于动态可重构操作单元及其生成和消除的指令。我们描述了所提出的DRP模型及其评价结果。
{"title":"A Modeling of a Dynamically Reconfigurable Processor Using SystemC","authors":"J. Kitamichi, K. Ueda, Kenichi Kuroda","doi":"10.1109/VLSI.2008.13","DOIUrl":"https://doi.org/10.1109/VLSI.2008.13","url":null,"abstract":"Recently, dynamically reconfigurable processors (DRPs) have been proposed. In this paper, we describe a model of a DRP using a dynamic module library (DML), which we have developed for the modeling of general-purpose dynamically reconfigurable systems. The DML is an extended SystemC library and enables the modeling of the dynamic generation and elimination of modules, ports and channels and the dynamic connection and dispatch between port and channel. Using the DML, we can model the DRP naturally. The architecture of the proposed DRP is based on an MlPS-type architecture and supports the instructions, which are for the dynamically reconfigurable operational units and for their generation and elimination. We describe the proposed DRP model and its evaluation results.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131174698","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
期刊
21st International Conference on VLSI Design (VLSID 2008)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1