首页 > 最新文献

21st International Conference on VLSI Design (VLSID 2008)最新文献

英文 中文
Enhanced TED: A New Data Structure for RTL Verification 增强TED: RTL验证的新数据结构
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.108
P. Lotfi-Kamran, M. Massoumi, Mohammad Mirzaei, Z. Navabi
This work provides a canonical representation for manipulation of RTL designs. Work has already been done on a canonical and graph-based representation called Taylor expansion diagram (TED). Although TED can effectively be used to represent arithmetic expressions at the word-level, it is not memory efficient in representing bit-level logic expressions. In addition, TED cannot represent Boolean expressions at the word-level (vector-level). In this paper, we present modifications to TED that will improve its ability for bit-level logic representation while enhancing its robustness to represent word-level Boolean expressions. It will be shown that for bit-level logic expressions, the enhanced TED (ETED) performs the same as the BDD representation.
这项工作为RTL设计的操作提供了一个规范的表示。人们已经在一种称为泰勒展开图(TED)的规范化和基于图形的表示上做了一些工作。虽然TED可以有效地用于表示字级的算术表达式,但它在表示位级逻辑表达式时内存效率不高。此外,TED不能在词级(向量级)表示布尔表达式。在本文中,我们对TED进行了修改,以提高其位级逻辑表示能力,同时增强其表示字级布尔表达式的鲁棒性。将显示对于位级逻辑表达式,增强的TED (TED)执行与BDD表示相同的操作。
{"title":"Enhanced TED: A New Data Structure for RTL Verification","authors":"P. Lotfi-Kamran, M. Massoumi, Mohammad Mirzaei, Z. Navabi","doi":"10.1109/VLSI.2008.108","DOIUrl":"https://doi.org/10.1109/VLSI.2008.108","url":null,"abstract":"This work provides a canonical representation for manipulation of RTL designs. Work has already been done on a canonical and graph-based representation called Taylor expansion diagram (TED). Although TED can effectively be used to represent arithmetic expressions at the word-level, it is not memory efficient in representing bit-level logic expressions. In addition, TED cannot represent Boolean expressions at the word-level (vector-level). In this paper, we present modifications to TED that will improve its ability for bit-level logic representation while enhancing its robustness to represent word-level Boolean expressions. It will be shown that for bit-level logic expressions, the enhanced TED (ETED) performs the same as the BDD representation.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115780981","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation 考虑工艺变化的无故障CMOS电路的总功率最小化
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.29
Y. Lu, V. Agrawal
Compared to subthreshold leakage, dynamic power is normally much less sensitive to the process variation due to its approximately linear relation to the process parameters. However, the average dynamic power of a circuit optimized by deterministic glitch elimination (using hazard filtering and path balancing) increases because glitches randomly start reappearing under the influence of process variation. Combining existing techniques, we propose a new statistical mixed integer linear programming (MILP) formulation, which combines glitch elimination and dual-threshold design to statistically minimize the total power in a glitch-free circuit under process variation.
与亚阈值泄漏相比,动态功率对工艺变化的敏感性通常要低得多,因为它与工艺参数呈近似线性关系。然而,通过确定性故障消除(使用危险滤波和路径平衡)优化的电路的平均动态功率增加,因为故障在工艺变化的影响下随机开始重新出现。结合现有技术,我们提出了一种新的统计混合整数线性规划(MILP)公式,该公式结合了故障消除和双阈值设计,以统计最小化工艺变化下的无故障电路的总功率。
{"title":"Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation","authors":"Y. Lu, V. Agrawal","doi":"10.1109/VLSI.2008.29","DOIUrl":"https://doi.org/10.1109/VLSI.2008.29","url":null,"abstract":"Compared to subthreshold leakage, dynamic power is normally much less sensitive to the process variation due to its approximately linear relation to the process parameters. However, the average dynamic power of a circuit optimized by deterministic glitch elimination (using hazard filtering and path balancing) increases because glitches randomly start reappearing under the influence of process variation. Combining existing techniques, we propose a new statistical mixed integer linear programming (MILP) formulation, which combines glitch elimination and dual-threshold design to statistically minimize the total power in a glitch-free circuit under process variation.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114496721","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
An Approach to Software Performance Evaluation on Customized Embedded Processors 定制嵌入式处理器的软件性能评估方法
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.42
Soumyajit Dey, M. Kedia, A. Basu
Evaluation of software performance on a given customized embedded processor is an important step in the design space exploration of embedded system architectures. Such evaluations help system designers in taking early design decisions regarding the hardware architecture most suitable for the target application. Simulation based performance evaluations, although very accurate, can be prohibitively slower. In this paper, we present a novel hybrid approach consisting of an initial simulation run (one time) followed by analysis of intermediate level (IR) application code by an evaluation engine. Our results show that the evaluation engine can accurately (more than 95%) estimate the excecution cycles of application or application task on a given customized embedded processor while it is at least an order of magnitude faster in terms of time taken.
对给定定制嵌入式处理器的软件性能进行评估是探索嵌入式系统架构设计空间的重要步骤。这样的评估有助于系统设计人员就最适合目标应用程序的硬件体系结构做出早期设计决策。基于模拟的性能评估虽然非常准确,但速度可能会慢得令人望而却步。在本文中,我们提出了一种新的混合方法,包括初始模拟运行(一次),然后通过评估引擎分析中间级别(IR)应用程序代码。我们的结果表明,评估引擎可以准确地(超过95%)估计给定定制嵌入式处理器上应用程序或应用程序任务的执行周期,而在时间方面至少快了一个数量级。
{"title":"An Approach to Software Performance Evaluation on Customized Embedded Processors","authors":"Soumyajit Dey, M. Kedia, A. Basu","doi":"10.1109/VLSI.2008.42","DOIUrl":"https://doi.org/10.1109/VLSI.2008.42","url":null,"abstract":"Evaluation of software performance on a given customized embedded processor is an important step in the design space exploration of embedded system architectures. Such evaluations help system designers in taking early design decisions regarding the hardware architecture most suitable for the target application. Simulation based performance evaluations, although very accurate, can be prohibitively slower. In this paper, we present a novel hybrid approach consisting of an initial simulation run (one time) followed by analysis of intermediate level (IR) application code by an evaluation engine. Our results show that the evaluation engine can accurately (more than 95%) estimate the excecution cycles of application or application task on a given customized embedded processor while it is at least an order of magnitude faster in terms of time taken.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127201896","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Behavioral Modeling of a CMOS Compatible High Precision MEMS Based Electron Tunneling Accelerometer 基于CMOS兼容的高精度MEMS电子隧道加速度计的行为建模
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.60
T. K. Bhattacharyya, A. Ghosh
The paper presents a comprehensive behavioral model of a high precision tunneling accelerometer. Design and optimization of the silicon based tunneling has also been reported in this work. The accelerometer is CMOS compatible and has actuation voltage within CMOS bias levels. The proposed structure uniquely combines the electron tunneling based sensing and capacitive actuation. A feedback controller is designed to measure the acceleration under constant gap mode of operation. The full dynamic range of operation is 1 mug to 200 mug with a resolution in the order of nano-g. The cross-axis sensitivity is less than 1% and the shock survivability is 10 g for a 10 ms shock with 0.1 ms rise time. The Brownian noise floor of the system has also been studied and the squeeze film damping effects on the system has been shown.
提出了高精度隧道加速度计的综合行为模型。本文还报道了硅基隧道的设计与优化。加速度计与CMOS兼容,并具有CMOS偏置水平内的驱动电压。该结构独特地结合了基于电子隧穿的传感和电容驱动。设计了一种反馈控制器,用于测量恒间隙工作模式下的加速度。全动态操作范围为1杯至200杯,分辨率为纳克级。在上升时间为0.1 ms的10ms冲击下,跨轴灵敏度小于1%,冲击存活能力为10g。研究了系统的布朗本底噪声,并给出了挤压膜阻尼对系统的影响。
{"title":"Behavioral Modeling of a CMOS Compatible High Precision MEMS Based Electron Tunneling Accelerometer","authors":"T. K. Bhattacharyya, A. Ghosh","doi":"10.1109/VLSI.2008.60","DOIUrl":"https://doi.org/10.1109/VLSI.2008.60","url":null,"abstract":"The paper presents a comprehensive behavioral model of a high precision tunneling accelerometer. Design and optimization of the silicon based tunneling has also been reported in this work. The accelerometer is CMOS compatible and has actuation voltage within CMOS bias levels. The proposed structure uniquely combines the electron tunneling based sensing and capacitive actuation. A feedback controller is designed to measure the acceleration under constant gap mode of operation. The full dynamic range of operation is 1 mug to 200 mug with a resolution in the order of nano-g. The cross-axis sensitivity is less than 1% and the shock survivability is 10 g for a 10 ms shock with 0.1 ms rise time. The Brownian noise floor of the system has also been studied and the squeeze film damping effects on the system has been shown.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126070739","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Energy-Aware Interconnect Optimization for a Coarse Grained Reconfigurable Processor 基于能量感知的粗粒度可重构处理器互连优化
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.25
A. Lambrechts, P. Raghavan, M. Jayapala, F. Catthoor, D. Verkest
Modern portable embedded devices provide continuously more features and need processors that are of increasingly higher performance in order to sustain very demanding multimedia and wireless applications. Larger amounts of flexibility need to be built in and the same processor needs to be used for a wide range of evolving products, while very strict energy constraints need to be met in order to provide a long battery life. Coarse Grained Reconflgurable Architectures (CGRAs) provide a mix of flexible computational resources and large amounts of programmable interconnect. However, this programmable interconnect is on average consuming about 50% of the core's energy consumpion for state of the art interconnection topologies. In this work we present an optimized interconnection implementation that selectively activates only the connections that are being used in a certain cycle, in order to reduce the energy spent in the interconnect. Using this optimization, we show the effect on the energy and performance trade-off for the ADRES CGRA. The energy cost of the optimized interconnect topologies that provide a higher performance can be reduced significantly, reducing the total energy consumption of the core with up to 40%. This will enable designers to develop more efficient architectures, tuned to a targeted application domain.
现代便携式嵌入式设备提供了越来越多的功能,并且需要性能越来越高的处理器来支持非常苛刻的多媒体和无线应用。需要内置更大的灵活性,并且需要将相同的处理器用于各种不断发展的产品,同时需要满足非常严格的能量限制,以提供更长的电池寿命。粗粒度可重构架构(CGRAs)提供了灵活的计算资源和大量可编程互连的组合。然而,对于最先进的互连拓扑,这种可编程互连平均消耗约50%的核心能耗。在这项工作中,我们提出了一种优化的互连实现,该互连实现选择性地仅激活在特定周期中使用的连接,以减少互连中消耗的能量。使用此优化,我们展示了对ADRES CGRA的能量和性能权衡的影响。优化后的互连拓扑可以显著降低能耗,提供更高的性能,降低核心总能耗高达40%。这将使设计人员能够开发更有效的体系结构,并针对目标应用领域进行调整。
{"title":"Energy-Aware Interconnect Optimization for a Coarse Grained Reconfigurable Processor","authors":"A. Lambrechts, P. Raghavan, M. Jayapala, F. Catthoor, D. Verkest","doi":"10.1109/VLSI.2008.25","DOIUrl":"https://doi.org/10.1109/VLSI.2008.25","url":null,"abstract":"Modern portable embedded devices provide continuously more features and need processors that are of increasingly higher performance in order to sustain very demanding multimedia and wireless applications. Larger amounts of flexibility need to be built in and the same processor needs to be used for a wide range of evolving products, while very strict energy constraints need to be met in order to provide a long battery life. Coarse Grained Reconflgurable Architectures (CGRAs) provide a mix of flexible computational resources and large amounts of programmable interconnect. However, this programmable interconnect is on average consuming about 50% of the core's energy consumpion for state of the art interconnection topologies. In this work we present an optimized interconnection implementation that selectively activates only the connections that are being used in a certain cycle, in order to reduce the energy spent in the interconnect. Using this optimization, we show the effect on the energy and performance trade-off for the ADRES CGRA. The energy cost of the optimized interconnect topologies that provide a higher performance can be reduced significantly, reducing the total energy consumption of the core with up to 40%. This will enable designers to develop more efficient architectures, tuned to a targeted application domain.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127176101","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
Testing Flash Memories for Tunnel Oxide Defects 隧道氧化物缺陷闪存测试
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.41
M. G. Mohammad, K. Saluja
Testing non volatile memories for tunnel oxide defects is one of the most important aspects to guarantee cell reliability. Defective tunnel oxide layer in core memory cells can result in various disturb faults. In this paper, we study various defects in the insulating layers of a IT flash cell and analyze their impact on cell performance. Further, we present a test methodology and test algorithms that enable the detection of tunnel oxide defects in an efficient manner.
隧道氧化缺陷的非易失性存储器测试是保证电池可靠性的重要方面之一。磁芯存储单元的隧道氧化层缺陷会导致各种干扰故障。本文研究了IT闪存电池绝缘层中的各种缺陷,并分析了它们对电池性能的影响。此外,我们提出了一种测试方法和测试算法,能够以有效的方式检测隧道氧化物缺陷。
{"title":"Testing Flash Memories for Tunnel Oxide Defects","authors":"M. G. Mohammad, K. Saluja","doi":"10.1109/VLSI.2008.41","DOIUrl":"https://doi.org/10.1109/VLSI.2008.41","url":null,"abstract":"Testing non volatile memories for tunnel oxide defects is one of the most important aspects to guarantee cell reliability. Defective tunnel oxide layer in core memory cells can result in various disturb faults. In this paper, we study various defects in the insulating layers of a IT flash cell and analyze their impact on cell performance. Further, we present a test methodology and test algorithms that enable the detection of tunnel oxide defects in an efficient manner.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123174289","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Throughput Efficient Parallel Implementation of SPIHT Algorithm SPIHT算法的吞吐量高效并行实现
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.48
A. Nandi, R. Banakar
We present a throughput efficient FPGA implementation of the 'Set Partitioning in Hierarchical Trees' (SPIHT) algorithm for compression of images. The SPIHT uses inherent redundancy among wavelet coefficients and suited for both gray and color images. The SPIHT algorithm uses dynamic data structures which hinders hardware realization. In our FPGA implementation we have modified basic SPIHT in two ways, one by using static (fixed) mappings which represent significant information and the other by interchanging the sorting and refinement passes. A hardware realization is done in a Xilinx XC2S30 device. Significant compression ratio and throughput is obtained for a sample image of size 128 times 128 pixels.
我们提出了一种用于图像压缩的“分层树中设置分区”(SPIHT)算法的吞吐量高效FPGA实现。SPIHT利用小波系数之间的固有冗余,适用于灰度和彩色图像。SPIHT算法使用动态数据结构,这阻碍了硬件实现。在我们的FPGA实现中,我们以两种方式修改了基本的SPIHT,一种是通过使用表示重要信息的静态(固定)映射,另一种是通过交换排序和细化传递。硬件实现是在Xilinx XC2S30设备中完成的。对于大小为128 × 128像素的样本图像,获得了显著的压缩比和吞吐量。
{"title":"Throughput Efficient Parallel Implementation of SPIHT Algorithm","authors":"A. Nandi, R. Banakar","doi":"10.1109/VLSI.2008.48","DOIUrl":"https://doi.org/10.1109/VLSI.2008.48","url":null,"abstract":"We present a throughput efficient FPGA implementation of the 'Set Partitioning in Hierarchical Trees' (SPIHT) algorithm for compression of images. The SPIHT uses inherent redundancy among wavelet coefficients and suited for both gray and color images. The SPIHT algorithm uses dynamic data structures which hinders hardware realization. In our FPGA implementation we have modified basic SPIHT in two ways, one by using static (fixed) mappings which represent significant information and the other by interchanging the sorting and refinement passes. A hardware realization is done in a Xilinx XC2S30 device. Significant compression ratio and throughput is obtained for a sample image of size 128 times 128 pixels.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120887916","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
A 2.1GHz 6.5mW 64-bit Unified PopCount/BitScan Datapath Unit for 65nm High-Performance Microprocessor Execution Cores 用于65nm高性能微处理器执行核的2.1GHz 6.5mW 64位统一PopCount/BitScan数据路径单元
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.75
R. Ramanarayanan, S. Mathew, V. Erraguntla, R. Krishnamurthy, S. Gueron
This paper describes a unified popcount/bitscanforward/bitscanreverse datapath circuit designed for 2.1GHz operation with total power consumption of 6.5 mW, targeted for 65 nm 64-bit microprocessor execution cores. The unified datapath uses a hybrid 3:2 compressor-based Wallace tree to count the number of '1's in the 64-bit input, along with a novel encoding scheme that enables reuse of the same tree to identify the bit-location of the 1st set bit when scanning the input in the forward and reverse directions. This circuit thus combines the functions of 3 separate units, enabling 26% reduction in total energy and 20% lower area, while achieving single-cycle latency & throughput.
本文介绍了一种统一的popcount/bitscanforward/bitscanreverse数据通路电路,设计用于2.1GHz工作,总功耗为6.5 mW,针对65nm 64位微处理器执行核心。统一的数据路径使用混合的基于3:2压缩器的Wallace树来计算64位输入中的“1”的数量,以及一种新颖的编码方案,该方案允许重用相同的树,以便在正向和反向扫描输入时识别第一个集合位的位位置。因此,该电路结合了3个独立单元的功能,使总能量减少26%,面积减少20%,同时实现单周期延迟和吞吐量。
{"title":"A 2.1GHz 6.5mW 64-bit Unified PopCount/BitScan Datapath Unit for 65nm High-Performance Microprocessor Execution Cores","authors":"R. Ramanarayanan, S. Mathew, V. Erraguntla, R. Krishnamurthy, S. Gueron","doi":"10.1109/VLSI.2008.75","DOIUrl":"https://doi.org/10.1109/VLSI.2008.75","url":null,"abstract":"This paper describes a unified popcount/bitscanforward/bitscanreverse datapath circuit designed for 2.1GHz operation with total power consumption of 6.5 mW, targeted for 65 nm 64-bit microprocessor execution cores. The unified datapath uses a hybrid 3:2 compressor-based Wallace tree to count the number of '1's in the 64-bit input, along with a novel encoding scheme that enables reuse of the same tree to identify the bit-location of the 1st set bit when scanning the input in the forward and reverse directions. This circuit thus combines the functions of 3 separate units, enabling 26% reduction in total energy and 20% lower area, while achieving single-cycle latency & throughput.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127091288","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Design-for-Testability for Synchronous Sequential Circuits that Maintains Functional Switching Activity 保持功能开关活动的同步顺序电路的可测试性设计
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.17
I. Pomeranz, S. Reddy
Design-for-testability (DFT) approaches that allow a synchronous sequential circuit to enter states that it cannot enter during functional operation improve the fault coverage achievable for the circuit. However, nonfunctional operation during test application may result in switching activity that is significantly higher than under functional operation. This may lead to unnecessary yield loss due to supply voltage droops that slow the circuit but will not occur during functional operation. To address this issue we describe a DFT approach and a test generation procedure that improve the fault coverage by slowing down the state transitions of certain state variables relative to others. Unlike approaches that are based on holding values of state variables stable for unlimited numbers of clock cycles, the proposed approach resumes functional operation every limited number of clock cycles. This is shown to result in maximum switching activity that is in most cases lower than that obtained under the application of a functional test sequence, and never needs to exceed it.
可测试性设计(DFT)方法允许同步顺序电路进入其在功能运行期间无法进入的状态,从而提高了电路可实现的故障覆盖率。然而,在测试应用期间的非功能性操作可能导致比功能性操作下更高的切换活动。这可能会导致不必要的产量损失,因为电源电压下降会减慢电路,但在功能运行期间不会发生。为了解决这个问题,我们描述了一种DFT方法和一个测试生成过程,通过减缓某些状态变量相对于其他状态变量的状态转换来提高故障覆盖率。与基于在无限个时钟周期内保持状态变量值稳定的方法不同,所提出的方法在每有限个时钟周期内恢复功能操作。结果显示,在大多数情况下,最大开关活动低于在应用功能测试序列下获得的活动,并且永远不需要超过它。
{"title":"Design-for-Testability for Synchronous Sequential Circuits that Maintains Functional Switching Activity","authors":"I. Pomeranz, S. Reddy","doi":"10.1109/VLSI.2008.17","DOIUrl":"https://doi.org/10.1109/VLSI.2008.17","url":null,"abstract":"Design-for-testability (DFT) approaches that allow a synchronous sequential circuit to enter states that it cannot enter during functional operation improve the fault coverage achievable for the circuit. However, nonfunctional operation during test application may result in switching activity that is significantly higher than under functional operation. This may lead to unnecessary yield loss due to supply voltage droops that slow the circuit but will not occur during functional operation. To address this issue we describe a DFT approach and a test generation procedure that improve the fault coverage by slowing down the state transitions of certain state variables relative to others. Unlike approaches that are based on holding values of state variables stable for unlimited numbers of clock cycles, the proposed approach resumes functional operation every limited number of clock cycles. This is shown to result in maximum switching activity that is in most cases lower than that obtained under the application of a functional test sequence, and never needs to exceed it.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131039650","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Multimedia Tools and Architectures for Hardware/Software Co-Simulation of Reconfigurable Systems 用于可重构系统软硬件联合仿真的多媒体工具和体系结构
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.70
V. Sklyarov, I. Skliarova, B. Pimentel, M. Almeida
The paper describes novel multimedia tools and architectures for hardware/software co-simulation of reconfigurable systems. The main contributions are provided in the following three areas: 1) multimedia tools making it possible to manage animated graphical objects for virtual simulation of real world physical objects in the scope of reconfigurable system design; 2) a remotely accessible prototyping system, which is very helpful for both solving the problems of hardware design and supporting multimedia systems which can be used in vast varieties of practical applications, the most important of which are engineering training and education; 3) design methodology based on physical circuits and virtual objects. A number of illustrative examples demonstrating capabilities of the proposed approach are presented and discussed.
本文描述了用于可重构系统软硬件协同仿真的新型多媒体工具和体系结构。主要贡献在以下三个方面:1)多媒体工具使管理动画图形对象成为可能,以便在可重构系统设计范围内对现实世界的物理对象进行虚拟模拟;2)可远程访问的原型系统,这对解决硬件设计问题和支持多媒体系统非常有帮助,可以用于各种各样的实际应用,其中最重要的是工程培训和教育;3)基于物理电路和虚拟对象的设计方法。提出并讨论了若干示范示例,以证明所提出的方法的能力。
{"title":"Multimedia Tools and Architectures for Hardware/Software Co-Simulation of Reconfigurable Systems","authors":"V. Sklyarov, I. Skliarova, B. Pimentel, M. Almeida","doi":"10.1109/VLSI.2008.70","DOIUrl":"https://doi.org/10.1109/VLSI.2008.70","url":null,"abstract":"The paper describes novel multimedia tools and architectures for hardware/software co-simulation of reconfigurable systems. The main contributions are provided in the following three areas: 1) multimedia tools making it possible to manage animated graphical objects for virtual simulation of real world physical objects in the scope of reconfigurable system design; 2) a remotely accessible prototyping system, which is very helpful for both solving the problems of hardware design and supporting multimedia systems which can be used in vast varieties of practical applications, the most important of which are engineering training and education; 3) design methodology based on physical circuits and virtual objects. A number of illustrative examples demonstrating capabilities of the proposed approach are presented and discussed.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131222141","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
期刊
21st International Conference on VLSI Design (VLSID 2008)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1