首页 > 最新文献

2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)最新文献

英文 中文
Obstacle-aware longest path using rectangular pattern detouring in routing grids 在路由网格中使用矩形模式绕行的障碍物感知最长路径
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419879
Jin-Tai Yan, Ming-Ching Jhong, Zhi-Wei Chen
As the clock frequency increases, signal propagation delays on PCBs are requested to meet the timing specifications with very high accuracy. Generally speaking, the length controllability of a net decides the routing delay of the net. If a routing result has the higher length controllability, the routing delay will be obtained with higher accuracy. In this paper, given a start terminal, S, and a target terminal, T, in mxn routing grids with obstacles, based on the rectangular partition in routing grids and the analysis of unreachable grids in rectangular pattern detouring, an efficient O(mnlog(mn)) algorithm is proposed to generate the longest path in routing grids from S to T. Compared with the US routing[5], our proposed routing approach can achieve longer paths for tested examples in less CPU time.
随着时钟频率的增加,要求pcb上的信号传播延迟以满足高精度的定时规范。一般来说,网络的长度可控性决定了网络的路由延迟。如果路由结果具有较高的长度可控性,则获得的路由延迟将具有较高的精度。本文在mxn个有障碍物的路由网格中,给定起始终端S和目标终端T,基于路由网格中的矩形划分和矩形模式绕路中不可达网格的分析,提出了一种高效的O(mnlog(mn))算法来生成路由网格中从S到T的最长路径。与美国路由[5]相比,我们提出的路由方法可以在更少的CPU时间内获得更长的测试样例路径。
{"title":"Obstacle-aware longest path using rectangular pattern detouring in routing grids","authors":"Jin-Tai Yan, Ming-Ching Jhong, Zhi-Wei Chen","doi":"10.1109/ASPDAC.2010.5419879","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419879","url":null,"abstract":"As the clock frequency increases, signal propagation delays on PCBs are requested to meet the timing specifications with very high accuracy. Generally speaking, the length controllability of a net decides the routing delay of the net. If a routing result has the higher length controllability, the routing delay will be obtained with higher accuracy. In this paper, given a start terminal, S, and a target terminal, T, in mxn routing grids with obstacles, based on the rectangular partition in routing grids and the analysis of unreachable grids in rectangular pattern detouring, an efficient O(mnlog(mn)) algorithm is proposed to generate the longest path in routing grids from S to T. Compared with the US routing[5], our proposed routing approach can achieve longer paths for tested examples in less CPU time.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"94 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133253673","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
A robust pixel-based RET optimization algorithm independent of initial conditions 一种独立于初始条件的稳健的基于像素的RET优化算法
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419808
Jinyu Zhang, Wei Xiong, Yan Wang, Zhiping Yu, M. Tsai
A robust pixel-based optimization algorithm is proposed for mask synthesis of inverse lithography technology (ILT) to improve the resolution and pattern fidelity in optical lithography. Result shows that the final image fidelity is almost independent of the initial condition. To demonstrate the robustness of the algorithm, six typical desired mask patterns and two mask technologies are applied in mask synthesis optimization using 100 randomly generated initial conditions. The critical dimension (CD) is 60nm and the partial-coherence image system is applied. It is found that the final edge placement error (EPE) and iteration number are quite weakly dependent on the initial conditions. Good final image fidelity can be acquired using arbitrary initial conditions. This algorithm is about several orders of magnitude faster and more effective than other gradient-based algorithm and simulated annealing algorithm.
为了提高反光刻技术的分辨率和图案保真度,提出了一种基于像素的鲁棒优化算法用于掩模合成。结果表明,最终图像保真度几乎与初始条件无关。为了证明该算法的鲁棒性,在100个随机生成的初始条件下,采用6种典型的期望掩码模式和两种掩码技术进行掩码合成优化。临界尺寸(CD)为60nm,采用部分相干成像系统。结果表明,最终边缘放置误差(EPE)和迭代次数对初始条件的依赖性较弱。使用任意初始条件都可以获得良好的最终图像保真度。与其他基于梯度的算法和模拟退火算法相比,该算法的速度和效率提高了几个数量级。
{"title":"A robust pixel-based RET optimization algorithm independent of initial conditions","authors":"Jinyu Zhang, Wei Xiong, Yan Wang, Zhiping Yu, M. Tsai","doi":"10.1109/ASPDAC.2010.5419808","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419808","url":null,"abstract":"A robust pixel-based optimization algorithm is proposed for mask synthesis of inverse lithography technology (ILT) to improve the resolution and pattern fidelity in optical lithography. Result shows that the final image fidelity is almost independent of the initial condition. To demonstrate the robustness of the algorithm, six typical desired mask patterns and two mask technologies are applied in mask synthesis optimization using 100 randomly generated initial conditions. The critical dimension (CD) is 60nm and the partial-coherence image system is applied. It is found that the final edge placement error (EPE) and iteration number are quite weakly dependent on the initial conditions. Good final image fidelity can be acquired using arbitrary initial conditions. This algorithm is about several orders of magnitude faster and more effective than other gradient-based algorithm and simulated annealing algorithm.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"57 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133313585","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Incremental high-level synthesis 增量高级综合
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419798
L. Lavagno, A. Kondratyev, Yosinori Watanabe, Qiang Zhu, Mototsugu Fujii, M. Tatesawa, Noriyasu Nakayama
The widespread acceptance of High-level synthesis as a mainstream tool mostly depends on its tight integration with the following RTL-to-GDSII design flow. A key aspect is the handling of so-called Engineering Change Orders (ECOs), i.e. minor changes required to fix small functional bugs or meet performance requirements late in the design cycle. Traditional high-level synthesis has attempted to optimize at best the output logic. However, in the ECO scenario the goal is to implement the required change with as few modifications as possible to the RTL, logic netlist, placed netlist and layout. In this paper we show how, by judiciously changing the internal databases used by the tool to match as much as possible the original design, one can achieve minimal impact and implement ECOs in truly incremental mode, while full-blow re-synthesis would lead to massive unnecessary downstream changes. The tool essentially matches source constructs between the original and the ECO design, and copies as many synthesis decisions as possible from the original design to the ECO design.
高级综合作为主流工具的广泛接受主要取决于它与以下RTL-to-GDSII设计流程的紧密集成。一个关键方面是处理所谓的工程变更令(eco),即在设计周期后期修复小的功能缺陷或满足性能要求所需的微小变更。传统的高级合成试图在最好的情况下优化输出逻辑。然而,在ECO场景中,目标是在对RTL、逻辑网络列表、放置网络列表和布局进行尽可能少的修改的情况下实现所需的更改。在本文中,我们展示了如何通过明智地更改工具使用的内部数据库以尽可能多地匹配原始设计,可以实现最小的影响并以真正的增量模式实现eco,而全面的重新合成将导致大量不必要的下游更改。该工具基本上匹配原始设计和ECO设计之间的源结构,并将尽可能多的综合决策从原始设计复制到ECO设计。
{"title":"Incremental high-level synthesis","authors":"L. Lavagno, A. Kondratyev, Yosinori Watanabe, Qiang Zhu, Mototsugu Fujii, M. Tatesawa, Noriyasu Nakayama","doi":"10.1109/ASPDAC.2010.5419798","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419798","url":null,"abstract":"The widespread acceptance of High-level synthesis as a mainstream tool mostly depends on its tight integration with the following RTL-to-GDSII design flow. A key aspect is the handling of so-called Engineering Change Orders (ECOs), i.e. minor changes required to fix small functional bugs or meet performance requirements late in the design cycle. Traditional high-level synthesis has attempted to optimize at best the output logic. However, in the ECO scenario the goal is to implement the required change with as few modifications as possible to the RTL, logic netlist, placed netlist and layout. In this paper we show how, by judiciously changing the internal databases used by the tool to match as much as possible the original design, one can achieve minimal impact and implement ECOs in truly incremental mode, while full-blow re-synthesis would lead to massive unnecessary downstream changes. The tool essentially matches source constructs between the original and the ECO design, and copies as many synthesis decisions as possible from the original design to the ECO design.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"13 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133490390","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Possibility of ESL: A software centric system design for multicore SoC in the upstream phase ESL的可能性:上游阶段多核SoC以软件为中心的系统设计
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419779
K. Yamashita
The embedded systems for which both hardware and software are rapidly advancing and expanding, there is a growing need to be able to comprehensively and quantitatively estimate system performance at an early stage in the design process, especially multi-core based SoC. But it can be difficult to estimate system performance of actual target by employing only simple estimation methods.
嵌入式系统的硬件和软件都在快速发展和扩展,在设计过程的早期阶段,特别是基于多核的SoC,越来越需要能够全面和定量地评估系统性能。但仅采用简单的估计方法很难对实际目标的系统性能进行估计。
{"title":"Possibility of ESL: A software centric system design for multicore SoC in the upstream phase","authors":"K. Yamashita","doi":"10.1109/ASPDAC.2010.5419779","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419779","url":null,"abstract":"The embedded systems for which both hardware and software are rapidly advancing and expanding, there is a growing need to be able to comprehensively and quantitatively estimate system performance at an early stage in the design process, especially multi-core based SoC. But it can be difficult to estimate system performance of actual target by employing only simple estimation methods.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"611 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133633407","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
CAFE router: A fast connectivity aware multiple nets routing algorithm for routing grid with obstacles CAFE路由器:一种快速连接感知多网路由算法,用于有障碍物的路由网格
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419882
Y. Kohira, A. Takahashi
In this paper, we propose CAFE router which obtains routes of multiple nets with target wire lengths for single layer routing grid with obstacles. CAFE router extends the route of each net from a pin to the other pin greedily so that the wire length of the net approaches its target wire length. Experiments show that CAFE router obtains the routes of nets with small length error in short time.
本文提出了一种CAFE路由器,它可以在有障碍物的单层路由网格中获取具有目标线长的多个网的路由。CAFE路由器贪婪地将每个网的路由从一个引脚延伸到另一个引脚,使网的线长接近其目标线长。实验表明,该算法能在短时间内得到长度误差小的网络路由。
{"title":"CAFE router: A fast connectivity aware multiple nets routing algorithm for routing grid with obstacles","authors":"Y. Kohira, A. Takahashi","doi":"10.1109/ASPDAC.2010.5419882","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419882","url":null,"abstract":"In this paper, we propose CAFE router which obtains routes of multiple nets with target wire lengths for single layer routing grid with obstacles. CAFE router extends the route of each net from a pin to the other pin greedily so that the wire length of the net approaches its target wire length. Experiments show that CAFE router obtains the routes of nets with small length error in short time.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"22 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134464778","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
Rapid prototyping on a structured ASIC fabric 基于结构化ASIC结构的快速原型设计
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419854
Steve C. L. Yuen, Yanqing Ai, B.P.L.S. Chan, T. Chau, S. M. H. Ho, Oscar K. L. Lau, K. Pun, P. Leong, O. Choy
We describe the architecture of a structured ASIC fabric in which the logic and routing can be customized using three masks. A standard Cadence based design flow is employed, and using an active dynamic backlight controller as an example, performance is compared to that of an ASIC implementation in the same technology.
我们描述了结构化ASIC结构的体系结构,其中逻辑和路由可以使用三个掩码进行定制。采用标准的基于Cadence的设计流程,并以主动动态背光控制器为例,与采用相同技术的ASIC实现的性能进行了比较。
{"title":"Rapid prototyping on a structured ASIC fabric","authors":"Steve C. L. Yuen, Yanqing Ai, B.P.L.S. Chan, T. Chau, S. M. H. Ho, Oscar K. L. Lau, K. Pun, P. Leong, O. Choy","doi":"10.1109/ASPDAC.2010.5419854","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419854","url":null,"abstract":"We describe the architecture of a structured ASIC fabric in which the logic and routing can be customized using three masks. A standard Cadence based design flow is employed, and using an active dynamic backlight controller as an example, performance is compared to that of an ASIC implementation in the same technology.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"60 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115704861","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A new method to improve accuracy of parasitics extraction considering sub-wavelength lithography effects 一种考虑亚波长光刻效应的提高寄生物提取精度的新方法
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419805
K. Tsai, Wei-Jhih Hsieh, Yuan-Ching Lu, Bo-Sen Chang, Sheng-Wei Chien, Yi-Chang Lu
Modern nanometer integrated circuits are patterned by sub-wavelength lithography with significant shape deviation from drawn layouts. Full-chip parasitics extraction faces new challenges since shape distortions such as line end rounding and corner rounding cannot be accurately characterized by existing layout parameter extraction (LPE) techniques which assume perfect polygons. A new LPE method and efficient shape approximation algorithms are proposed to account for the shape distortions. Preliminary results verified by field solver simulations indicate that accuracy of parasitics extraction can be significantly improved.
现代纳米集成电路采用亚波长光刻技术,其形状与绘制的布局有很大的偏差。由于现有的布局参数提取(LPE)技术不能准确表征线端圆整和角圆整等形状畸变,使得全芯片寄生提取面临新的挑战。提出了一种新的LPE方法和有效的形状逼近算法来处理形状畸变。通过现场求解器仿真验证了初步结果,结果表明该方法可以显著提高寄生蜂的提取精度。
{"title":"A new method to improve accuracy of parasitics extraction considering sub-wavelength lithography effects","authors":"K. Tsai, Wei-Jhih Hsieh, Yuan-Ching Lu, Bo-Sen Chang, Sheng-Wei Chien, Yi-Chang Lu","doi":"10.1109/ASPDAC.2010.5419805","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419805","url":null,"abstract":"Modern nanometer integrated circuits are patterned by sub-wavelength lithography with significant shape deviation from drawn layouts. Full-chip parasitics extraction faces new challenges since shape distortions such as line end rounding and corner rounding cannot be accurately characterized by existing layout parameter extraction (LPE) techniques which assume perfect polygons. A new LPE method and efficient shape approximation algorithms are proposed to account for the shape distortions. Preliminary results verified by field solver simulations indicate that accuracy of parasitics extraction can be significantly improved.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"104 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124816707","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Simultaneous slack budgeting and retiming for synchronous circuits optimization 同步电路优化的同时松弛预算和重新定时
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419919
Shenghua Liu, Yuchun Ma, Xianlong Hong, Yu Wang
With the challenges of growing functionality and scaling chip size, the possible performance improvements should be considered in the earlier IC design stages, which gives more freedom to the later optimization. Potential slack as an effective metric of possible performance improvements is considered in this work which, as far as we known, is the first work that maximizes the potential slack by retiming for synchronous sequential circuit. A simultaneous slack budgeting and incremental retiming algorithm is proposed for maximizing potential slack. The overall slack budget is optimized by relocating the FFs iteratively with the MIS-based slack estimation. Compared with the potential slack of a well-known min-period retiming, our algorithm improves potential slack averagely 19.6% without degrading the circuit performance in reasonable runtime. Furthermore, at the expense of a small amount of timing performance, 0.52% and 2.08%, the potential slack is increased averagely by 19.89% and 28.16% separately, which give a hint of the tradeoff between the timing performance and the slack budget.
随着功能的不断增长和芯片尺寸的不断扩大,应该在早期的IC设计阶段考虑可能的性能改进,这为后期的优化提供了更多的自由。本文将潜在松弛度作为性能改进的有效度量,据我们所知,这是第一个通过同步顺序电路的重定时来最大化潜在松弛度的工作。为了最大限度地提高潜在松弛度,提出了一种同时进行松弛预算和增量重定时的算法。利用基于mis的松弛估计,通过迭代重新定位FFs,优化总体松弛预算。与已知的最小周期重定时的潜在松弛相比,该算法在合理的运行时间内,在不降低电路性能的情况下,平均提高了19.6%的潜在松弛。此外,在牺牲少量的时序性能0.52%和2.08%的情况下,潜在松弛度平均分别增加了19.89%和28.16%,这暗示了时序性能和松弛预算之间的权衡。
{"title":"Simultaneous slack budgeting and retiming for synchronous circuits optimization","authors":"Shenghua Liu, Yuchun Ma, Xianlong Hong, Yu Wang","doi":"10.1109/ASPDAC.2010.5419919","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419919","url":null,"abstract":"With the challenges of growing functionality and scaling chip size, the possible performance improvements should be considered in the earlier IC design stages, which gives more freedom to the later optimization. Potential slack as an effective metric of possible performance improvements is considered in this work which, as far as we known, is the first work that maximizes the potential slack by retiming for synchronous sequential circuit. A simultaneous slack budgeting and incremental retiming algorithm is proposed for maximizing potential slack. The overall slack budget is optimized by relocating the FFs iteratively with the MIS-based slack estimation. Compared with the potential slack of a well-known min-period retiming, our algorithm improves potential slack averagely 19.6% without degrading the circuit performance in reasonable runtime. Furthermore, at the expense of a small amount of timing performance, 0.52% and 2.08%, the potential slack is increased averagely by 19.89% and 28.16% separately, which give a hint of the tradeoff between the timing performance and the slack budget.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"171 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122758900","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Optimizing power and performance for reliable on-chip networks 优化电源和性能,实现可靠的片上网络
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419844
A. Yanamandra, S. Eachempati, N. Soundararajan, N. Vijaykrishnan, M. J. Irwin, R. Krishnan
We propose novel techniques to minimize the power and performance penalties in protecting the NoC against soft errors, while giving desired reliability guarantees. Some applications have inherent error tolerance which can be exploited to save power, by turning off the error correction mechanisms for a fraction of the total time without trading off reliability. To further increase the power savings, we bound the vulnerability of a router by throttling the traffic into the router. In order to minimize the throughput loss due to throttling, we propose dividing the die into domains and using multiple vulnerability bounds across these domains. We explore both static and dynamic selection of vulnerability bounds. We find that for applications with an error tolerance of 10% of the raw error rate, the dynamic multiple vulnerability bound scheme can save up to 44% of power expended for error correction at a marginal network throughput loss of 3%.
我们提出了新的技术,以最大限度地减少功率和性能损失,以保护NoC免受软错误的影响,同时提供所需的可靠性保证。一些应用程序具有固有的容错能力,可以通过在不牺牲可靠性的情况下将纠错机制关闭一小部分时间来节省电力。为了进一步提高功耗,我们通过限制进入路由器的流量来绑定路由器的漏洞。为了最大限度地减少由于节流造成的吞吐量损失,我们建议将芯片划分为多个域,并在这些域之间使用多个漏洞边界。我们探索了静态和动态的漏洞边界选择。我们发现,对于容错率为原始错误率10%的应用程序,动态多漏洞绑定方案可以在边际网络吞吐量损失3%的情况下节省高达44%的纠错功耗。
{"title":"Optimizing power and performance for reliable on-chip networks","authors":"A. Yanamandra, S. Eachempati, N. Soundararajan, N. Vijaykrishnan, M. J. Irwin, R. Krishnan","doi":"10.1109/ASPDAC.2010.5419844","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419844","url":null,"abstract":"We propose novel techniques to minimize the power and performance penalties in protecting the NoC against soft errors, while giving desired reliability guarantees. Some applications have inherent error tolerance which can be exploited to save power, by turning off the error correction mechanisms for a fraction of the total time without trading off reliability. To further increase the power savings, we bound the vulnerability of a router by throttling the traffic into the router. In order to minimize the throughput loss due to throttling, we propose dividing the die into domains and using multiple vulnerability bounds across these domains. We explore both static and dynamic selection of vulnerability bounds. We find that for applications with an error tolerance of 10% of the raw error rate, the dynamic multiple vulnerability bound scheme can save up to 44% of power expended for error correction at a marginal network throughput loss of 3%.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"67 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123863943","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
Three-dimensional integrated circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis 三维集成电路(3D IC)平面图和电源/地网络协同合成
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419899
P. Falkenstern, Yuan Xie, Yao-Wen Chang, Yu Wang
Three Dimensional Integrated Circuits (3D ICs) are currently being developed to improve existing 2D designs by providing smaller chip areas and higher performance and lower power consumption. However, before 3D ICs become a viable technology, the 3D design space needs to be fully explored and 3D EDA tools need to be developed. To help explore the 3D design space and help fill the need for 3D EDA tools, the 3D Floorplan and Power/Ground (P/G) Co-synthesis tool is developed in this work, which develops the floorplan and the P/G network concurrently. Most current 3D IC floorplanners neglect the effects of the 3D P/G network on the design, which may lead to large IR drops in the circuit. To create feasible floorplans with efficient P/G networks, the 3D Floorplan and P/G Co-synthesis tool optimizes the floorplan in terms of wirelength, area and P/G routing area and IR drops. The tool integrates a 3D B*-tree floorplan representation, a resistive P/G mesh, and a Simulated Annealing (SA) engine to explore the 3D floorplan and P/G network. The results of experiments using the 3D Floorplan and P/G Co-synthesis tool show that 3D ICs tend to increase the P/G routing area while decreasing the IR drops in the circuit. By considering the IR drop while floorplanning, exploring the 3D P/G design space, and evaluating 3D IC's effect on 3D P/G networks, the 3D Floorplan and P/G Co-synthesis tool can develop a more efficient 3D IC.
三维集成电路(3D ic)目前正在开发中,通过提供更小的芯片面积、更高的性能和更低的功耗来改进现有的2D设计。然而,在3D集成电路成为一项可行的技术之前,需要充分探索3D设计空间,并开发3D EDA工具。为了帮助探索3D设计空间并帮助满足对3D EDA工具的需求,本工作开发了3D平面图和电源/地面(P/G)协同合成工具,该工具同时开发了平面图和P/G网络。目前大多数3D集成电路的规划者忽视了3D P/G网络对设计的影响,这可能导致电路中出现较大的红外下降。为了创建具有高效P/G网络的可行平面图,3D平面图和P/G协同合成工具在无线、面积、P/G路由面积和IR下降方面优化了平面图。该工具集成了3D B*树平面图表示、电阻P/G网格和模拟退火(SA)引擎,用于探索3D平面图和P/G网络。使用3D平面图和P/G共合成工具的实验结果表明,3D集成电路倾向于增加P/G布线面积,同时降低电路中的红外降。通过在平面规划时考虑IR下降,探索3D P/G设计空间,并评估3D IC对3D P/G网络的影响,3D平面图和P/G协同合成工具可以开发出更高效的3D IC。
{"title":"Three-dimensional integrated circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis","authors":"P. Falkenstern, Yuan Xie, Yao-Wen Chang, Yu Wang","doi":"10.1109/ASPDAC.2010.5419899","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419899","url":null,"abstract":"Three Dimensional Integrated Circuits (3D ICs) are currently being developed to improve existing 2D designs by providing smaller chip areas and higher performance and lower power consumption. However, before 3D ICs become a viable technology, the 3D design space needs to be fully explored and 3D EDA tools need to be developed. To help explore the 3D design space and help fill the need for 3D EDA tools, the 3D Floorplan and Power/Ground (P/G) Co-synthesis tool is developed in this work, which develops the floorplan and the P/G network concurrently. Most current 3D IC floorplanners neglect the effects of the 3D P/G network on the design, which may lead to large IR drops in the circuit. To create feasible floorplans with efficient P/G networks, the 3D Floorplan and P/G Co-synthesis tool optimizes the floorplan in terms of wirelength, area and P/G routing area and IR drops. The tool integrates a 3D B*-tree floorplan representation, a resistive P/G mesh, and a Simulated Annealing (SA) engine to explore the 3D floorplan and P/G network. The results of experiments using the 3D Floorplan and P/G Co-synthesis tool show that 3D ICs tend to increase the P/G routing area while decreasing the IR drops in the circuit. By considering the IR drop while floorplanning, exploring the 3D P/G design space, and evaluating 3D IC's effect on 3D P/G networks, the 3D Floorplan and P/G Co-synthesis tool can develop a more efficient 3D IC.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129987453","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 66
期刊
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1