首页 > 最新文献

Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451)最新文献

英文 中文
Generalized cofactoring for logic function evaluation 逻辑函数求值的广义协分解
Pub Date : 2003-06-02 DOI: 10.1145/775832.775873
Yunjian Jiang, Slobodan Matic, R. Brayton
Logic evaluation of a Boolean function or relation is traditionally done by simulating its gate-level implementation, or creating a branching program using its binary decision diagram (BDD) representation, or using a set of look-up tables. We propose a new approach called generalized cofactoring diagrams, which are a generalization of the above methods. Algorithms are given for finding the optimal cofactoring structure for free-ordered BDD's and generalized cube cofactoring under an average path level (APL) cost criterion. Experiments on multi-valued functions show superior results to previously known methods by an average of 30%. The framework has direct applications in logic simulation, software synthesis for embedded control applications, and functional decomposition in logic synthesis.
布尔函数或关系的逻辑评估传统上是通过模拟其门级实现,或使用其二进制决策图(BDD)表示创建分支程序,或使用一组查找表来完成的。我们提出了一种新的方法,称为广义协因式图,它是上述方法的推广。给出了在平均路径水平(APL)代价准则下寻找自由有序BDD的最优协分解结构和广义立方协分解的算法。对多值函数的实验表明,该方法的结果比以前已知的方法平均好30%。该框架可直接应用于逻辑仿真、嵌入式控制应用的软件综合以及逻辑综合中的功能分解。
{"title":"Generalized cofactoring for logic function evaluation","authors":"Yunjian Jiang, Slobodan Matic, R. Brayton","doi":"10.1145/775832.775873","DOIUrl":"https://doi.org/10.1145/775832.775873","url":null,"abstract":"Logic evaluation of a Boolean function or relation is traditionally done by simulating its gate-level implementation, or creating a branching program using its binary decision diagram (BDD) representation, or using a set of look-up tables. We propose a new approach called generalized cofactoring diagrams, which are a generalization of the above methods. Algorithms are given for finding the optimal cofactoring structure for free-ordered BDD's and generalized cube cofactoring under an average path level (APL) cost criterion. Experiments on multi-valued functions show superior results to previously known methods by an average of 30%. The framework has direct applications in logic simulation, software synthesis for embedded control applications, and functional decomposition in logic synthesis.","PeriodicalId":167477,"journal":{"name":"Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451)","volume":"14 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127811383","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Static analysis of transaction-level models 事务级模型的静态分析
Pub Date : 2003-06-02 DOI: 10.1145/775832.775950
G. Agosta, F. Bruschi, D. Sciuto
The introduction of design languages, such as SystemC 2.0, that allow the modeling of digital systems at the transaction level will impose some major changes to the design flows. Since these formalisms allow for a higher level of abstraction in the systems description, new methodological tools will be needed to support all design phases. The goal of this paper is twofold: first we formalize in an abstract way a significant set of features of a Transaction Level Model, according to the SystemC 2.0 formalism. Then, upon this model we define numerical metrics that can provide useful information in the analysis of the system-level specifications. In particular these metrics are useful in the design exploration phase, to define the main characteristics of the hardware and software architectures.
设计语言的引入,例如SystemC 2.0,允许在事务级别对数字系统进行建模,这将对设计流程造成一些重大变化。由于这些形式化允许在系统描述中进行更高层次的抽象,因此需要新的方法工具来支持所有的设计阶段。本文的目标有两个:首先,我们根据SystemC 2.0的形式化,以抽象的方式形式化了事务级模型的一组重要特征。然后,在这个模型的基础上,我们定义了可以在系统级规范的分析中提供有用信息的数值度量。特别是,这些度量在设计探索阶段非常有用,可以定义硬件和软件架构的主要特征。
{"title":"Static analysis of transaction-level models","authors":"G. Agosta, F. Bruschi, D. Sciuto","doi":"10.1145/775832.775950","DOIUrl":"https://doi.org/10.1145/775832.775950","url":null,"abstract":"The introduction of design languages, such as SystemC 2.0, that allow the modeling of digital systems at the transaction level will impose some major changes to the design flows. Since these formalisms allow for a higher level of abstraction in the systems description, new methodological tools will be needed to support all design phases. The goal of this paper is twofold: first we formalize in an abstract way a significant set of features of a Transaction Level Model, according to the SystemC 2.0 formalism. Then, upon this model we define numerical metrics that can provide useful information in the analysis of the system-level specifications. In particular these metrics are useful in the design exploration phase, to define the main characteristics of the hardware and software architectures.","PeriodicalId":167477,"journal":{"name":"Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451)","volume":"412 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126692571","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Recent advances and future prospects in single-electronics 单电子学的最新进展与未来展望
Pub Date : 2003-06-02 DOI: 10.1145/775832.775901
C. Wasshuber
This paper introduces new developments in single-electron logic technology and review a few clever applications made possible when single-electron transistors are combined with CMOS.
本文介绍了单电子逻辑技术的最新进展,并评述了单电子晶体管与CMOS相结合所带来的一些巧妙应用。
{"title":"Recent advances and future prospects in single-electronics","authors":"C. Wasshuber","doi":"10.1145/775832.775901","DOIUrl":"https://doi.org/10.1145/775832.775901","url":null,"abstract":"This paper introduces new developments in single-electron logic technology and review a few clever applications made possible when single-electron transistors are combined with CMOS.","PeriodicalId":167477,"journal":{"name":"Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451)","volume":"15 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132859755","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
A hybrid SAT-based decision procedure for separation logic with uninterpreted functions 一个基于sat的混合决策过程,用于分离逻辑和未解释的函数
Pub Date : 2003-06-02 DOI: 10.1145/775832.775945
S. Seshia, Shuvendu K. Lahiri, R. Bryant
SAT-based decision procedures for quantifier-free fragments of first-order logic have proved to be useful in formal verification. These decisions procedures are either based on encoding atomic subformulas with Boolean variables, or by encoding integer variables as bit-vectors. Based on evaluating these two encoding methods on a diverse set of hardware and software benchmarks, we conclude that neither method is robust to variations in formula characteristics. We therefore propose a new hybrid technique that combines the two methods. We give experimental results showing that the hybrid method can significantly outperform either approach as well as other decision procedures.
基于sat的一阶逻辑无量词片段的决策程序已被证明是形式化验证的有用方法。这些决策过程要么基于用布尔变量编码原子子公式,要么基于将整数变量编码为位向量。基于在不同的硬件和软件基准测试中评估这两种编码方法,我们得出结论,这两种方法对公式特征的变化都没有鲁棒性。因此,我们提出了一种新的混合技术,将这两种方法结合起来。我们给出的实验结果表明,混合方法可以显著优于任何一种方法以及其他决策方法。
{"title":"A hybrid SAT-based decision procedure for separation logic with uninterpreted functions","authors":"S. Seshia, Shuvendu K. Lahiri, R. Bryant","doi":"10.1145/775832.775945","DOIUrl":"https://doi.org/10.1145/775832.775945","url":null,"abstract":"SAT-based decision procedures for quantifier-free fragments of first-order logic have proved to be useful in formal verification. These decisions procedures are either based on encoding atomic subformulas with Boolean variables, or by encoding integer variables as bit-vectors. Based on evaluating these two encoding methods on a diverse set of hardware and software benchmarks, we conclude that neither method is robust to variations in formula characteristics. We therefore propose a new hybrid technique that combines the two methods. We give experimental results showing that the hybrid method can significantly outperform either approach as well as other decision procedures.","PeriodicalId":167477,"journal":{"name":"Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451)","volume":"44 3 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122418480","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 91
Optimal integer delay budgeting on directed acyclic graphs 有向无环图上最优整数延迟预算
Pub Date : 2003-06-02 DOI: 10.1145/775832.776064
E. Bozorgzadeh, S. Ghiasi, A. Takahashi, M. Sarrafzadeh
Delay budget is an excess delay each component of a design can tolerate under a given timing constraint. Delay budgeting has been widely exploited to improve the design quality. We present an optimal integer delay budgeting algorithm. Due to numerical instability and discreteness of libraries of components during library mapping in design optimization flow, integer solution for delay budgeting is essential. We prove that integer budgeting problem - a 20-year old open problem in design optimization based on Y. Liao and C.K. Wong (1983) - can be solved optimally in polynomial time. We applied optimal delay budgeting in mapping applications on FPGA platform using pre-optimized cores of FPGA libraries. For each application we go through synthesis and place and route stages in order to obtain accurate results. Our optimal algorithm outperforms ZSA algorithm by R. Nair et al. (1989) in terms of area by 10% on average for all applications. In some applications, optimal delay budgeting can speedup runtime of place and route up to 2 times.
延迟预算是在给定的时间约束下,设计的每个组件可以容忍的多余延迟。延迟预算被广泛用于提高设计质量。提出了一种最优整数延迟预算算法。由于设计优化流程中构件库映射过程中的数值不稳定性和离散性,延迟预算的整数求解至关重要。我们证明了整数预算问题——一个20多年前由廖氏和黄志强(1983)提出的设计优化开放问题——可以在多项式时间内得到最优解。利用FPGA库的预优化内核,将最优延迟预算应用于FPGA平台上的映射应用。对于每个应用程序,我们经过合成和位置和路线阶段,以获得准确的结果。在所有应用中,我们的最优算法比R. Nair等人(1989)的ZSA算法在面积方面平均高出10%。在某些应用中,最优延迟预算可以使地点和路线的运行速度提高2倍。
{"title":"Optimal integer delay budgeting on directed acyclic graphs","authors":"E. Bozorgzadeh, S. Ghiasi, A. Takahashi, M. Sarrafzadeh","doi":"10.1145/775832.776064","DOIUrl":"https://doi.org/10.1145/775832.776064","url":null,"abstract":"Delay budget is an excess delay each component of a design can tolerate under a given timing constraint. Delay budgeting has been widely exploited to improve the design quality. We present an optimal integer delay budgeting algorithm. Due to numerical instability and discreteness of libraries of components during library mapping in design optimization flow, integer solution for delay budgeting is essential. We prove that integer budgeting problem - a 20-year old open problem in design optimization based on Y. Liao and C.K. Wong (1983) - can be solved optimally in polynomial time. We applied optimal delay budgeting in mapping applications on FPGA platform using pre-optimized cores of FPGA libraries. For each application we go through synthesis and place and route stages in order to obtain accurate results. Our optimal algorithm outperforms ZSA algorithm by R. Nair et al. (1989) in terms of area by 10% on average for all applications. In some applications, optimal delay budgeting can speedup runtime of place and route up to 2 times.","PeriodicalId":167477,"journal":{"name":"Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451)","volume":"504 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123198496","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 34
Power network analysis using an adaptive algebraic multigrid approach 基于自适应代数多网格方法的电网分析
Pub Date : 2003-06-02 DOI: 10.1145/775832.775862
Zhengyong Zhu, B. Yao, Chung-Kuan Cheng
In this paper, we introduce an efficient analysis method for the power network of general topology. The new approach is based on algebraic multigrid (AMG) method that can avoid the slow convergence of basic iterative methods. An innovative adaptive coarsening scheme is employed to further speed up the performance, taking advantage of the spatial variation of power supply noise. Experimental results show that our method is more than 100 times faster than SPICE3.
本文介绍了一种对一般拓扑的电力网络进行有效分析的方法。该方法基于代数多重网格(AMG)方法,避免了基本迭代方法收敛缓慢的问题。采用一种创新的自适应粗化方案,利用电源噪声的空间变化进一步提高了性能。实验结果表明,我们的方法比SPICE3快100倍以上。
{"title":"Power network analysis using an adaptive algebraic multigrid approach","authors":"Zhengyong Zhu, B. Yao, Chung-Kuan Cheng","doi":"10.1145/775832.775862","DOIUrl":"https://doi.org/10.1145/775832.775862","url":null,"abstract":"In this paper, we introduce an efficient analysis method for the power network of general topology. The new approach is based on algebraic multigrid (AMG) method that can avoid the slow convergence of basic iterative methods. An innovative adaptive coarsening scheme is employed to further speed up the performance, taking advantage of the spatial variation of power supply noise. Experimental results show that our method is more than 100 times faster than SPICE3.","PeriodicalId":167477,"journal":{"name":"Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451)","volume":"78 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128330929","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 49
Test generation for designs with multiple clocks 具有多个时钟设计的测试生成
Pub Date : 2003-06-02 DOI: 10.1145/775832.776000
X. Lin, R. Thompson
To improve the system performance, designs with multiple clocks have become more and more popular. In this paper, several novel test generation procedures are proposed to utilize multiple clocks in the design effectively and efficiently in order to dramatically reduce test pattern count without sacrificing fault coverage or causing clock skew problem. This is achieved by pulsing multiple noninteractive clocks simultaneously and applying a clock concatenation technique. Experimental results on several industrial circuits show significant test pattern count reduction by using the proposed test pattern generation procedures.
为了提高系统的性能,多时钟的设计越来越受欢迎。本文提出了几种新的测试生成方法,在不牺牲故障覆盖率或引起时钟倾斜问题的情况下,有效地利用多个时钟在设计中显著减少测试模式数。这是通过同时脉冲多个非交互时钟并应用时钟串联技术来实现的。在几个工业电路上的实验结果表明,使用所提出的测试图生成程序可以显著减少测试图数。
{"title":"Test generation for designs with multiple clocks","authors":"X. Lin, R. Thompson","doi":"10.1145/775832.776000","DOIUrl":"https://doi.org/10.1145/775832.776000","url":null,"abstract":"To improve the system performance, designs with multiple clocks have become more and more popular. In this paper, several novel test generation procedures are proposed to utilize multiple clocks in the design effectively and efficiently in order to dramatically reduce test pattern count without sacrificing fault coverage or causing clock skew problem. This is achieved by pulsing multiple noninteractive clocks simultaneously and applying a clock concatenation technique. Experimental results on several industrial circuits show significant test pattern count reduction by using the proposed test pattern generation procedures.","PeriodicalId":167477,"journal":{"name":"Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451)","volume":"10 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125364867","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 55
A survey of techniques for energy efficient on-chip communication 片上高效节能通信技术综述
Pub Date : 2003-06-02 DOI: 10.1145/775832.776059
V. Raghunathan, M. Srivastava, Rajesh K. Gupta
Interconnects have been shown to be a dominant source of energy consumption in modern day System-on-Chip (SoC) designs. With a large (and growing) number of electronic systems being designed with battery considerations in mind, minimizing the energy consumed in on-chip interconnects becomes crucial. Further, the use of nanometer technologies is making it increasingly important to consider reliability issues during the design of SoC communication architectures. Continued supply voltage scaling has led to decreased noise margins, making interconnects more susceptible to noise sources such as crosstalk, power supply noise, radiation induced defects, etc. The resulting transient faults cause the interconnect to behave as an unreliable transport medium for data signals. Therefore, fault tolerant communication mechanism, such as Automatic Repeat Request (ARQ), Forward Error Correction (FEC), etc., which have been widely used in the networking community, are likely to percolate to the SoC domain. This paper presents a survey of techniques for energy efficient on-chip communication. Techniques operating at different levels of the communication design hierarchy are described, including circuit-level techniques, such as low voltage signaling, architecture-level techniques, such as communication architecture selection and bus isolation, system-level techniques, such as communication based power management and dynamic voltage scaling for interconnects, and network-level techniques, such as error resilient encoding for packetized on-chip communication. Emerging technologies, such as Code Division Multiple Access (CDMA) based buses, and wireless interconnects are also surveyed.
互连已被证明是现代系统芯片(SoC)设计中能源消耗的主要来源。随着越来越多的电子系统在设计时考虑到电池的问题,最大限度地减少片上互连所消耗的能量变得至关重要。此外,纳米技术的使用使得在设计SoC通信架构时考虑可靠性问题变得越来越重要。持续的电源电压缩放导致噪声裕度降低,使互连更容易受到噪声源的影响,如串扰、电源噪声、辐射诱发缺陷等。由此产生的瞬态故障导致互连充当数据信号的不可靠传输介质。因此,在网络社区中广泛应用的容错通信机制,如自动重复请求(ARQ)、前向纠错(FEC)等,很可能会渗透到SoC领域。本文介绍了一种高效节能的片上通信技术。描述了在通信设计层次的不同层次上操作的技术,包括电路级技术,如低压信号,架构级技术,如通信架构选择和总线隔离,系统级技术,如基于通信的电源管理和互连的动态电压缩放,以及网络级技术,如用于封装片上通信的纠错编码。新兴技术,如码分多址(CDMA)为基础的总线和无线互连也进行了调查。
{"title":"A survey of techniques for energy efficient on-chip communication","authors":"V. Raghunathan, M. Srivastava, Rajesh K. Gupta","doi":"10.1145/775832.776059","DOIUrl":"https://doi.org/10.1145/775832.776059","url":null,"abstract":"Interconnects have been shown to be a dominant source of energy consumption in modern day System-on-Chip (SoC) designs. With a large (and growing) number of electronic systems being designed with battery considerations in mind, minimizing the energy consumed in on-chip interconnects becomes crucial. Further, the use of nanometer technologies is making it increasingly important to consider reliability issues during the design of SoC communication architectures. Continued supply voltage scaling has led to decreased noise margins, making interconnects more susceptible to noise sources such as crosstalk, power supply noise, radiation induced defects, etc. The resulting transient faults cause the interconnect to behave as an unreliable transport medium for data signals. Therefore, fault tolerant communication mechanism, such as Automatic Repeat Request (ARQ), Forward Error Correction (FEC), etc., which have been widely used in the networking community, are likely to percolate to the SoC domain. This paper presents a survey of techniques for energy efficient on-chip communication. Techniques operating at different levels of the communication design hierarchy are described, including circuit-level techniques, such as low voltage signaling, architecture-level techniques, such as communication architecture selection and bus isolation, system-level techniques, such as communication based power management and dynamic voltage scaling for interconnects, and network-level techniques, such as error resilient encoding for packetized on-chip communication. Emerging technologies, such as Code Division Multiple Access (CDMA) based buses, and wireless interconnects are also surveyed.","PeriodicalId":167477,"journal":{"name":"Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451)","volume":"18 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125972037","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 165
Test application time and volume compression through seed overlapping 通过种子重叠测试应用时间和体积压缩
Pub Date : 2003-06-02 DOI: 10.1145/775832.776020
Wenjing Rao, I. Bayraktaroglu, A. Orailoglu
We propose in this paper an extension on the Scan Chain Concealment technique to further reduce test time and volume requirement. The proposed methodology stems from the architecture of the existing SCC scheme, while it attempts to overlap consecutive test vector seeds, thus providing increased flexibility in exploiting effectively the large volume of don't-care bits in test vectors. We also introduce modified ATPG algorithms upon the previous SCC scheme and explore various implementation strategies. Experimental data exhibit significant reductions on test time and volume over all current test compression techniques.
本文提出了扫描链隐藏技术的扩展,以进一步减少测试时间和体积要求。所提出的方法源于现有SCC方案的体系结构,同时它试图重叠连续的测试向量种子,从而在有效利用测试向量中大量不关心的比特方面提供了更大的灵活性。我们还在之前的SCC方案的基础上引入了改进的ATPG算法,并探讨了各种实现策略。实验数据显示,与所有当前的测试压缩技术相比,测试时间和体积显著减少。
{"title":"Test application time and volume compression through seed overlapping","authors":"Wenjing Rao, I. Bayraktaroglu, A. Orailoglu","doi":"10.1145/775832.776020","DOIUrl":"https://doi.org/10.1145/775832.776020","url":null,"abstract":"We propose in this paper an extension on the Scan Chain Concealment technique to further reduce test time and volume requirement. The proposed methodology stems from the architecture of the existing SCC scheme, while it attempts to overlap consecutive test vector seeds, thus providing increased flexibility in exploiting effectively the large volume of don't-care bits in test vectors. We also introduce modified ATPG algorithms upon the previous SCC scheme and explore various implementation strategies. Experimental data exhibit significant reductions on test time and volume over all current test compression techniques.","PeriodicalId":167477,"journal":{"name":"Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116788918","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 57
Quantum-dot cellular automata: computing by field polarization 量子点元胞自动机:场极化计算
Pub Date : 2003-06-02 DOI: 10.1145/775832.775900
G. Bernstein
As CMOS technology continue its monotonic shrink, computing with quantum dots remains a goal in nanotechnology research. Quantum-dot cellular automata (QCA) is a paradigm for low-power, high-speed, highly dense computing that could be realized in a variety of materials systems. Discussed here are the basic paradigm of QCA, materials systems in which QCA might be constructed, a series of experiments performed in the metal tunnel junction technology, and ideas for future QCA implementations.
随着CMOS技术的持续单调萎缩,量子点计算仍然是纳米技术研究的一个目标。量子点元胞自动机(QCA)是一种低功耗、高速、高密度计算的范例,可以在各种材料系统中实现。本文讨论了QCA的基本范例,QCA可能构建的材料系统,在金属隧道结技术中进行的一系列实验,以及未来QCA实现的想法。
{"title":"Quantum-dot cellular automata: computing by field polarization","authors":"G. Bernstein","doi":"10.1145/775832.775900","DOIUrl":"https://doi.org/10.1145/775832.775900","url":null,"abstract":"As CMOS technology continue its monotonic shrink, computing with quantum dots remains a goal in nanotechnology research. Quantum-dot cellular automata (QCA) is a paradigm for low-power, high-speed, highly dense computing that could be realized in a variety of materials systems. Discussed here are the basic paradigm of QCA, materials systems in which QCA might be constructed, a series of experiments performed in the metal tunnel junction technology, and ideas for future QCA implementations.","PeriodicalId":167477,"journal":{"name":"Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130632439","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
期刊
Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1