首页 > 最新文献

2020 International Wafer Level Packaging Conference (IWLPC)最新文献

英文 中文
Board Level Reliability of Automotive Grade WLCSP for Radar Applications 用于雷达应用的汽车级WLCSP板级可靠性
Pub Date : 2020-10-13 DOI: 10.23919/IWLPC52010.2020.9375894
N. Lakhera, B. Carpenter, Trung Duong, Mollie Benson, A. Mawer
Wafer-Level Chip Scale Packages (WLCSPs) are becoming commonplace in the industry due to their small form factor. Applications include industrial and automotive which demand high reliability performance. Additionally, WLCSPs may be superior in some implementations to other package options for RF performance in the mmWave spectrum, which is desired for automotive radar application. But board level reliability can be a challenge for some WLCSP package due to CTE mismatch between Si and PCB. Variety of factors including PCB materials, sphere alloys, and board level underfills can influence the board level reliability of WLCSP packages. In this study the industry's first auto grade 1 capable large WLCSP package. (∼ 72 mm2 body size, 18×15 BGA array, 0.5 mm pitch) is presented. Board level underfill application was utilized to achieve automotive grade board level reliability. Underfills are typically selected based on thermomechanical properties of unaged materials. An understanding of the evolution of underfill material properties under thermal aging is important for selecting a stable material capable of meeting the reliability requirements. This study evaluates board level underfills and edge bond materials in the form of stand-alone samples and applied to a large daisy-chain WLCSP. The underfilled daisy-chain WLCSPs and the stand-alone samples are placed in a −40/125C air cycling chamber (1 cycle/hour). Glass transition temperature (Tg), elastic modulus (E), and coefficient of thermal expansion (CTE) are measured using Dynamic Mechanical Analysis (DMA) and Thermomechanical Analysis (TMA) on the stand-alone samples at various intervals to monitor the evolution of material properties. Simultaneously, the underfilled daisy chain WLCSPs are monitored electrically using an event detector. The combination of material property measurements and cycles to electrical failure can be used to correlate underfill material properties and WLCSP board-level reliability. The results of this study can provide material property guidance for underfill selection.
晶圆级芯片规模封装(WLCSPs)由于其小尺寸而在行业中变得越来越普遍。应用领域包括需要高可靠性性能的工业和汽车行业。此外,在毫米波频谱的射频性能方面,wlcsp在某些实现中可能优于其他封装选项,这是汽车雷达应用所需要的。但是由于Si和PCB之间的CTE不匹配,对于一些WLCSP封装来说,板级可靠性可能是一个挑战。多种因素,包括PCB材料、球体合金和板级下填料,都会影响WLCSP封装的板级可靠性。本研究行业内首款具备汽车1级能力的大型WLCSP封装。(~ 72 mm2机身尺寸,18×15 BGA阵列,0.5 mm间距)。利用板级下填应用实现汽车级板级可靠性。下填料通常是根据未老化材料的热力学特性来选择的。了解下填土材料在热老化条件下的性能变化,对于选择能够满足可靠性要求的稳定材料具有重要意义。本研究以独立样品的形式评估板级底填料和边缘粘合材料,并应用于大型雏菊链WLCSP。未填充的雏菊链wlcsp和独立样品放置在−40/125C的空气循环室中(1循环/小时)。利用动态力学分析(Dynamic Mechanical Analysis, DMA)和热力学分析(thermal - echanical Analysis, TMA)对独立样品在不同时间间隔内的玻璃化转变温度(Tg)、弹性模量(E)和热膨胀系数(CTE)进行了测量,以监测材料性能的演变。同时,使用事件检测器对未填充的菊花链wlcsp进行电监测。材料性能测量和电气故障循环的组合可用于关联下填材料性能和WLCSP板级可靠性。研究结果可为下填体的选择提供材料性能指导。
{"title":"Board Level Reliability of Automotive Grade WLCSP for Radar Applications","authors":"N. Lakhera, B. Carpenter, Trung Duong, Mollie Benson, A. Mawer","doi":"10.23919/IWLPC52010.2020.9375894","DOIUrl":"https://doi.org/10.23919/IWLPC52010.2020.9375894","url":null,"abstract":"Wafer-Level Chip Scale Packages (WLCSPs) are becoming commonplace in the industry due to their small form factor. Applications include industrial and automotive which demand high reliability performance. Additionally, WLCSPs may be superior in some implementations to other package options for RF performance in the mmWave spectrum, which is desired for automotive radar application. But board level reliability can be a challenge for some WLCSP package due to CTE mismatch between Si and PCB. Variety of factors including PCB materials, sphere alloys, and board level underfills can influence the board level reliability of WLCSP packages. In this study the industry's first auto grade 1 capable large WLCSP package. (∼ 72 mm2 body size, 18×15 BGA array, 0.5 mm pitch) is presented. Board level underfill application was utilized to achieve automotive grade board level reliability. Underfills are typically selected based on thermomechanical properties of unaged materials. An understanding of the evolution of underfill material properties under thermal aging is important for selecting a stable material capable of meeting the reliability requirements. This study evaluates board level underfills and edge bond materials in the form of stand-alone samples and applied to a large daisy-chain WLCSP. The underfilled daisy-chain WLCSPs and the stand-alone samples are placed in a −40/125C air cycling chamber (1 cycle/hour). Glass transition temperature (Tg), elastic modulus (E), and coefficient of thermal expansion (CTE) are measured using Dynamic Mechanical Analysis (DMA) and Thermomechanical Analysis (TMA) on the stand-alone samples at various intervals to monitor the evolution of material properties. Simultaneously, the underfilled daisy chain WLCSPs are monitored electrically using an event detector. The combination of material property measurements and cycles to electrical failure can be used to correlate underfill material properties and WLCSP board-level reliability. The results of this study can provide material property guidance for underfill selection.","PeriodicalId":192698,"journal":{"name":"2020 International Wafer Level Packaging Conference (IWLPC)","volume":"41 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122741633","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Die to Wafer Hybrid Bonding: Multi-Die Stacking with Tsv Integration 晶圆与晶圆间的杂化键合:多晶圆堆叠与Tsv集成
Pub Date : 2020-10-13 DOI: 10.23919/IWLPC52010.2020.9375884
Guilian Gao, J. Theil, G. Fountain, Thomas Workman, Gabe Guevara, C. Uzoh, D. Suwito, Bongsub Lee, K.M. Bang, R. Katkar, L. Mirkarimi
The Direct Bond Interconnect (DBI® Ultra) technology is a low temperature die to wafer (D2W) and die to die (D2D) hybrid bonding technology that solves many challenges with pitch scaling in advanced packaging. The ability to scale to < 1μm pitch while maintaining throughput comparable to the mass reflow flip chip process and providing improved reliability performance makes this platform technology attractive for the next generation packaging in the semiconductor industry. Two application areas which will benefit significantly in the migration from Cu μbump or Cu pillar to an all-Cu interconnect are high bandwidth memory (HBM) and compute intensive applications in 2.5D and 3D integrated solutions. A critical enabler of the D2W hybrid bonding technology in high volume manufacturing (HVM) is the availability of suitable pick and place bonders. The D2W hybrid bonding task is very similar to flip chip but require enhanced cleanliness environments with the bonder to perform ultra clean bonding. Currently, high alignment accuracy HVM bonders such as the Besi Chameo 8800 achieve approximately 3 μmalignment accuracy without sacrificing throughput and offer cleanroom environmental kits. These bonders accommodate device interconnect pitches of approximately 30 μmor larger. We target the first D2W bonding adoption in the sub-40 μmpitch range using existing flip chip bonders. Ziptronix first demonstrated the D2W hybrid bonding in 2003. Over the last five years Xperi has been systemically addressing critical challenges to bring the hybrid bonding technology for D2W applications to a manufacturing readiness. We present a review of the progress in this paper. Recently we have fabricated a test vehicle with TSVs similar to a HBM DRAM footprint to build 4-die stacks to demonstrate stacking and TSV intergration with the technology. The die is 8mm x12mm and 50 μmthick. TSV arrays include areas with up to 9480 TSV s in each die with a diameter of 5 μmon a pitch of35 μmThe fabrication of the hybrid bonding interface represents a significant simplification compared to the solder micro-bump technology. The Cu-Cu interconnectwas formed at 200°C. We share the assembly results of the 4 die stacks with TSV s in this paper.
直接键合互连(DBI®Ultra)技术是一种低温晶圆(D2W)和晶圆(D2D)混合键合技术,可解决先进封装中间距缩放的许多挑战。该平台技术可扩展至< 1μm间距,同时保持与大规模回流倒装芯片工艺相当的吞吐量,并提供更高的可靠性性能,这使得该平台技术对半导体行业的下一代封装具有吸引力。在从Cu μbump或Cu柱向全Cu互连迁移的过程中,高带宽存储器(HBM)和2.5D和3D集成解决方案中的计算密集型应用领域将显著受益。在大批量生产(HVM)中,D2W混合键合技术的一个关键推动因素是合适的可拾取和放置键合剂的可用性。D2W混合键合任务与倒装芯片非常相似,但需要提高键合机的清洁度,以执行超清洁键合。目前,高对准精度的HVM粘接器(如Besi Chameo 8800)在不牺牲吞吐量的情况下实现了大约3 μ恶性的精度,并提供了洁净室环境套件。这些键合器可容纳大约30 μ more的器件互连间距。我们的目标是使用现有的倒装芯片键合器在40 μmpitch范围内首次采用D2W键合。Ziptronix在2003年首次展示了D2W杂化键。在过去的五年中,Xperi一直在系统地解决关键挑战,将D2W应用的混合键合技术带入生产准备阶段。本文就这方面的研究进展作一综述。最近,我们制造了一个带有类似HBM DRAM的TSV的测试车辆,用于构建4芯片堆栈,以演示堆叠和TSV与该技术的集成。模具尺寸为8mm × 12mm,厚度为50 μm。TSV阵列的每个晶片面积可达9480个TSV,其直径为5 μmon,间距为35 μm。与焊料微凸点技术相比,这种混合键合界面的制造方法显着简化。在200°C时形成Cu-Cu互连。在本文中,我们分享了用TSV组装4个芯片堆的结果。
{"title":"Die to Wafer Hybrid Bonding: Multi-Die Stacking with Tsv Integration","authors":"Guilian Gao, J. Theil, G. Fountain, Thomas Workman, Gabe Guevara, C. Uzoh, D. Suwito, Bongsub Lee, K.M. Bang, R. Katkar, L. Mirkarimi","doi":"10.23919/IWLPC52010.2020.9375884","DOIUrl":"https://doi.org/10.23919/IWLPC52010.2020.9375884","url":null,"abstract":"The Direct Bond Interconnect (DBI® Ultra) technology is a low temperature die to wafer (D2W) and die to die (D2D) hybrid bonding technology that solves many challenges with pitch scaling in advanced packaging. The ability to scale to < 1μm pitch while maintaining throughput comparable to the mass reflow flip chip process and providing improved reliability performance makes this platform technology attractive for the next generation packaging in the semiconductor industry. Two application areas which will benefit significantly in the migration from Cu μbump or Cu pillar to an all-Cu interconnect are high bandwidth memory (HBM) and compute intensive applications in 2.5D and 3D integrated solutions. A critical enabler of the D2W hybrid bonding technology in high volume manufacturing (HVM) is the availability of suitable pick and place bonders. The D2W hybrid bonding task is very similar to flip chip but require enhanced cleanliness environments with the bonder to perform ultra clean bonding. Currently, high alignment accuracy HVM bonders such as the Besi Chameo 8800 achieve approximately 3 μmalignment accuracy without sacrificing throughput and offer cleanroom environmental kits. These bonders accommodate device interconnect pitches of approximately 30 μmor larger. We target the first D2W bonding adoption in the sub-40 μmpitch range using existing flip chip bonders. Ziptronix first demonstrated the D2W hybrid bonding in 2003. Over the last five years Xperi has been systemically addressing critical challenges to bring the hybrid bonding technology for D2W applications to a manufacturing readiness. We present a review of the progress in this paper. Recently we have fabricated a test vehicle with TSVs similar to a HBM DRAM footprint to build 4-die stacks to demonstrate stacking and TSV intergration with the technology. The die is 8mm x12mm and 50 μmthick. TSV arrays include areas with up to 9480 TSV s in each die with a diameter of 5 μmon a pitch of35 μmThe fabrication of the hybrid bonding interface represents a significant simplification compared to the solder micro-bump technology. The Cu-Cu interconnectwas formed at 200°C. We share the assembly results of the 4 die stacks with TSV s in this paper.","PeriodicalId":192698,"journal":{"name":"2020 International Wafer Level Packaging Conference (IWLPC)","volume":"154 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131644944","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
New X-Ray Tubes for Wafer Level Inspection 用于晶圆级检测的新型x射线管
Pub Date : 2020-10-13 DOI: 10.23919/IWLPC52010.2020.9375866
Keith Bryant, B. Eng
Today's consumers are looking for powerful, multifunctional electronic devices with unprecedented performance and speed, yet small, thin and low cost. This creates complex technology and manufacturing challenges for semiconductor companies as they look for new ways to achieve greater performance and functionality in a small, thin, low cost device. JCET is an industry leader in Wafer Level Packaging (WLP) technology, providing a comprehensive portfolio of WLP solutions including Fan-in Wafer Level Packaging (FIWLP), Fan-out Wafer Level Packaging (FOWLP) and Integrated Passive Devices (IPD This paper shares data and results from our labs and those of our technology partners which come from two recent tube technology advances, both show great potential for providing solutions to the issues of imaging small features quickly, faced in the higher end semiconductor manufacturing industry. Metal Jet Technology, in metal jet anode microfocus xray tubes the traditional solid metal anode is replaced with a jet of liquid metal, which acts as the electron-beam target, The metal jet supports higher electron-beam power and can therefore generate higher X-ray flux. The major benefit of the increased power density level for the metal-jet X-ray tube is the possibility to operate with a smaller focal spot, say 5 ?m, to increase image resolution and at the same time acquire the image faster, since the power is 10x higher for same spot size. Which means that a 5μm spot on a Metal Jet can tolerate approximately 5x higher power compared to a 10μm spot on a tube with a traditional solid filament This technology delivers one of the smallest and most intensive X-ray beams of any Xray source to meet the ever-increasing technology demands, including wafer level package inspection. Nano Tube Technology This enables industry-leading resolution in geometric magnification, the Nano tube is based on advanced electron optics and the latest tungsten-diamond transmission target technology. Automatic e-beam focusing, and astigmatism correction ensures that the smallest possible, truly round spot is achieved. The Nano tube also has the unique feature of internally measuring and reporting the current spot size. In addition, advanced cooling and thermal design results in extreme stability over time. This enables an unprecedented true resolution of 150 nm lines and spaces. The true round spot of the tube is demonstrated by the highly symmetric images of a ‘Siemens star’ resolution target, the innermost features are 150 nm
今天的消费者正在寻找功能强大,多功能的电子设备,具有前所未有的性能和速度,但小,薄和低成本。这给半导体公司带来了复杂的技术和制造挑战,因为他们正在寻找新的方法,在小、薄、低成本的设备中实现更高的性能和功能。JCET是晶圆级封装(WLP)技术的行业领导者,提供全面的WLP解决方案组合,包括扇入晶圆级封装(FIWLP),扇出晶圆级封装(FOWLP)和集成无源器件(IPD)。本文分享了我们的实验室和我们的技术合作伙伴的数据和结果,这些数据和结果来自最近的两项管技术进步,都显示出为快速成像小特征问题提供解决方案的巨大潜力。面对高端半导体制造行业。金属射流技术,在金属射流阳极微聚焦x射线管中,传统的固体金属阳极被液态金属射流取代,液态金属射流充当电子束靶,金属射流支持更高的电子束功率,因此可以产生更高的x射线通量。金属喷射x射线管功率密度水平提高的主要好处是可以使用更小的焦点光斑,例如5 ?m,以提高图像分辨率,同时更快地获得图像,因为相同光斑尺寸的功率提高了10倍。这意味着与传统固体灯丝管上的10μm光斑相比,Metal Jet上的5μm光斑可以承受大约5倍的功率。该技术提供任何x射线源中最小和最密集的x射线束之一,以满足不断增长的技术需求,包括晶圆级封装检查。纳米管基于先进的电子光学和最新的钨金刚石透射靶技术,可实现行业领先的几何放大分辨率。自动电子束聚焦,和散光校正确保最小的可能,真正的圆点实现。纳米管还具有内部测量和报告当前光斑大小的独特功能。此外,随着时间的推移,先进的冷却和热设计带来了极高的稳定性。这实现了前所未有的150nm线和空间的真正分辨率。用“西门子星”分辨率目标的高度对称图像证明了该管的真正圆点,最内层特征为150nm
{"title":"New X-Ray Tubes for Wafer Level Inspection","authors":"Keith Bryant, B. Eng","doi":"10.23919/IWLPC52010.2020.9375866","DOIUrl":"https://doi.org/10.23919/IWLPC52010.2020.9375866","url":null,"abstract":"Today's consumers are looking for powerful, multifunctional electronic devices with unprecedented performance and speed, yet small, thin and low cost. This creates complex technology and manufacturing challenges for semiconductor companies as they look for new ways to achieve greater performance and functionality in a small, thin, low cost device. JCET is an industry leader in Wafer Level Packaging (WLP) technology, providing a comprehensive portfolio of WLP solutions including Fan-in Wafer Level Packaging (FIWLP), Fan-out Wafer Level Packaging (FOWLP) and Integrated Passive Devices (IPD This paper shares data and results from our labs and those of our technology partners which come from two recent tube technology advances, both show great potential for providing solutions to the issues of imaging small features quickly, faced in the higher end semiconductor manufacturing industry. Metal Jet Technology, in metal jet anode microfocus xray tubes the traditional solid metal anode is replaced with a jet of liquid metal, which acts as the electron-beam target, The metal jet supports higher electron-beam power and can therefore generate higher X-ray flux. The major benefit of the increased power density level for the metal-jet X-ray tube is the possibility to operate with a smaller focal spot, say 5 ?m, to increase image resolution and at the same time acquire the image faster, since the power is 10x higher for same spot size. Which means that a 5μm spot on a Metal Jet can tolerate approximately 5x higher power compared to a 10μm spot on a tube with a traditional solid filament This technology delivers one of the smallest and most intensive X-ray beams of any Xray source to meet the ever-increasing technology demands, including wafer level package inspection. Nano Tube Technology This enables industry-leading resolution in geometric magnification, the Nano tube is based on advanced electron optics and the latest tungsten-diamond transmission target technology. Automatic e-beam focusing, and astigmatism correction ensures that the smallest possible, truly round spot is achieved. The Nano tube also has the unique feature of internally measuring and reporting the current spot size. In addition, advanced cooling and thermal design results in extreme stability over time. This enables an unprecedented true resolution of 150 nm lines and spaces. The true round spot of the tube is demonstrated by the highly symmetric images of a ‘Siemens star’ resolution target, the innermost features are 150 nm","PeriodicalId":192698,"journal":{"name":"2020 International Wafer Level Packaging Conference (IWLPC)","volume":"55 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114217507","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Machine-Learning Based Methodologies for 3D X-Ray Measurement, Characterization and Optimization for Buried Structures in Advanced IC Packages 基于机器学习的三维x射线测量方法,表征和优化先进集成电路封装中的埋藏结构
Pub Date : 2020-10-13 DOI: 10.23919/IWLPC52010.2020.9375903
R. Pahwa, S. W. Ho, Ren Qin, Richard Chang, Oo Zaw Min, Jie Wang, V. S. Rao, T. Nwe, Yanjing Yang, J. Neumann, R. Pichumani, T. Gregorich
For over 40 years lithographic silicon scaling has driven circuit integration and performance improvement in the semiconductor industry. As silicon scaling slows down, the industry is increasingly dependent on IC package technologies to contribute to further circuit integration and performance improvements. This is a paradigm-shift and requires the IC package industry to reduce the size and increase the density of internal interconnects on a scale which has never been done before. Traditional package characterization and process optimization relies on destructive techniques such as physical cross-sections and delayering to extract data from internal package features. These destructive techniques are not practical with today's advanced packages. In this paper we will demonstrate how data acquired nondestructively with a 3D X-ray microscope can be enhanced and optimized using machine learning, and can then be used to measure, characterize and optimize the design and production of buried interconnects in advanced IC packages. Test vehicles replicating 2.5D and HBM construction were designed and fabricated, and digital data was extracted from these test vehicles using 3D X-ray and machine learning techniques. The extracted digital data was used to characterize and optimize the design and production of the interconnects and demonstrates a superior alternative to destructive physical analysis. We report a mAP of 0.96 for 3D object detection, a dice score of 0.92 for 3D segmentation and an average of 2.1 um error for 3D metrology on the test dataset. This paper is the first part of a multi-part report.
40多年来,光刻硅缩放技术推动了半导体行业的电路集成和性能改进。随着硅的规模放缓,该行业越来越依赖于IC封装技术,以促进进一步的电路集成和性能改进。这是一种范式转变,要求IC封装行业以前所未有的规模缩小尺寸并增加内部互连的密度。传统的封装表征和工艺优化依赖于物理横截面和分层等破坏性技术从封装内部特征中提取数据。这些破坏性的技术与今天的先进软件包是不实用的。在本文中,我们将演示如何使用3D x射线显微镜非破坏性地获取数据,并使用机器学习进行增强和优化,然后可用于测量,表征和优化先进IC封装中埋地互连的设计和生产。设计并制造了复制2.5D和HBM结构的测试车辆,并使用3D x射线和机器学习技术从这些测试车辆中提取数字数据。提取的数字数据用于表征和优化互连的设计和生产,并证明了一种优于破坏性物理分析的替代方法。我们报告了3D物体检测的mAP为0.96,3D分割的dice得分为0.92,3D计量在测试数据集上的平均误差为2.1 um。本文是由多个部分组成的报告的第一部分。
{"title":"Machine-Learning Based Methodologies for 3D X-Ray Measurement, Characterization and Optimization for Buried Structures in Advanced IC Packages","authors":"R. Pahwa, S. W. Ho, Ren Qin, Richard Chang, Oo Zaw Min, Jie Wang, V. S. Rao, T. Nwe, Yanjing Yang, J. Neumann, R. Pichumani, T. Gregorich","doi":"10.23919/IWLPC52010.2020.9375903","DOIUrl":"https://doi.org/10.23919/IWLPC52010.2020.9375903","url":null,"abstract":"For over 40 years lithographic silicon scaling has driven circuit integration and performance improvement in the semiconductor industry. As silicon scaling slows down, the industry is increasingly dependent on IC package technologies to contribute to further circuit integration and performance improvements. This is a paradigm-shift and requires the IC package industry to reduce the size and increase the density of internal interconnects on a scale which has never been done before. Traditional package characterization and process optimization relies on destructive techniques such as physical cross-sections and delayering to extract data from internal package features. These destructive techniques are not practical with today's advanced packages. In this paper we will demonstrate how data acquired nondestructively with a 3D X-ray microscope can be enhanced and optimized using machine learning, and can then be used to measure, characterize and optimize the design and production of buried interconnects in advanced IC packages. Test vehicles replicating 2.5D and HBM construction were designed and fabricated, and digital data was extracted from these test vehicles using 3D X-ray and machine learning techniques. The extracted digital data was used to characterize and optimize the design and production of the interconnects and demonstrates a superior alternative to destructive physical analysis. We report a mAP of 0.96 for 3D object detection, a dice score of 0.92 for 3D segmentation and an average of 2.1 um error for 3D metrology on the test dataset. This paper is the first part of a multi-part report.","PeriodicalId":192698,"journal":{"name":"2020 International Wafer Level Packaging Conference (IWLPC)","volume":"144 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123288423","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Electrochemical Plating of Nano-Twinned Cu for WLP Applications 电化学镀纳米双晶铜的WLP应用
Pub Date : 2020-10-13 DOI: 10.23919/IWLPC52010.2020.9375876
P. Ye, Jianwen Han, Stephan Braye, Kyle Whitten, Rich Hurtubise, T. Richardson, E. Najjar
We developed a direct current, electrochemical plating process to control the formation of nano-twinned copper (nt-Cu) to fulfill the keen market interest for copper-to-copper direct bonding, or hybrid-bonding, for wafer-level packaging applications. Unlike other studies that require either pulse plating or high agitation for nt-Cu formation, this newly designed process can produce nt-Cu without pulse plating, and under low agitation conditions. The microstructure transition between the seed layer and nt-Cu formation happens in less than 0.50 μm. In this paper, we will discuss three different formulations that enable nt-Cu creation. We will also address the effects of current density (CD), additive concentration, nucleation density, agitation, and their relevance to the nt-Cu formation. We achieve close to 100% columnar grains of nt-Cu with Twin Boundary (TB) parallel to the substrate surface when deposited on (111) texture dominated Cu substrate. The strong interaction of additive with the copper seed layer plays a crucial role in the fast nt-Cu initiation and growth. Current density and nucleation density also play an essential role in the nt-Cu formation. Some level of additive adsorption is necessary to enable the critical nucleation density for a fast nt-Cu initiation, which increases with increasing current density. Nano-twinned Cu grain size decreases with rising deposition rate. However, further increases in deposition rate result in a slightly larger nt-Cu grain size. We can produce a uniform nt-Cu with a grain size of a few hundreds of nanometers when the current density is in the range of 30 to 60 mA/cm2, and additive concentration is 2.0 ml/L to 6.0 ml/L. Either strong or weak convection has minor effects on nt-Cu formation. With this process, an nt-Cu configuration is possible when plating regular pillar structures but also enables nano-twinned copper deposition for RDL lines and vias with recess.
我们开发了一种直流电化学镀工艺来控制纳米孪晶铜(nt-Cu)的形成,以满足市场对铜与铜直接键合或混合键合的强烈兴趣,用于晶圆级封装应用。与其他需要脉冲镀或高搅拌才能形成nt-Cu的研究不同,这种新设计的工艺可以在低搅拌条件下不需要脉冲镀就能生产nt-Cu。种子层与nt-Cu形成之间的微观结构转变发生在0.50 μm以内。在本文中,我们将讨论三种不同的配方,使nt-Cu的创建。我们还将讨论电流密度(CD)、添加剂浓度、成核密度、搅拌的影响,以及它们与nt-Cu形成的相关性。当沉积在(111)织构为主的Cu衬底上时,我们获得了接近100%的柱状nt-Cu晶粒,孪晶界(TB)平行于衬底表面。添加剂与铜种层之间的强相互作用对nt-Cu的快速萌生和生长起着至关重要的作用。电流密度和成核密度在nt-Cu的形成中也起着重要的作用。一定程度的添加剂吸附是必要的,以使临界成核密度为快速的nt-Cu引发,增加的电流密度增加。纳米孪晶Cu晶粒尺寸随沉积速率的增加而减小。然而,进一步增加沉积速率会导致nt-Cu晶粒尺寸略大。当电流密度在30 ~ 60 mA/cm2范围内,添加剂浓度为2.0 ml/L ~ 6.0 ml/L时,我们可以制备出晶粒尺寸为几百纳米的均匀的nt-Cu。强对流或弱对流对nt-Cu的形成影响较小。通过这种工艺,可以在电镀规则柱结构时形成nt-Cu结构,也可以在RDL线和凹槽过孔中沉积纳米孪晶铜。
{"title":"Electrochemical Plating of Nano-Twinned Cu for WLP Applications","authors":"P. Ye, Jianwen Han, Stephan Braye, Kyle Whitten, Rich Hurtubise, T. Richardson, E. Najjar","doi":"10.23919/IWLPC52010.2020.9375876","DOIUrl":"https://doi.org/10.23919/IWLPC52010.2020.9375876","url":null,"abstract":"We developed a direct current, electrochemical plating process to control the formation of nano-twinned copper (nt-Cu) to fulfill the keen market interest for copper-to-copper direct bonding, or hybrid-bonding, for wafer-level packaging applications. Unlike other studies that require either pulse plating or high agitation for nt-Cu formation, this newly designed process can produce nt-Cu without pulse plating, and under low agitation conditions. The microstructure transition between the seed layer and nt-Cu formation happens in less than 0.50 μm. In this paper, we will discuss three different formulations that enable nt-Cu creation. We will also address the effects of current density (CD), additive concentration, nucleation density, agitation, and their relevance to the nt-Cu formation. We achieve close to 100% columnar grains of nt-Cu with Twin Boundary (TB) parallel to the substrate surface when deposited on (111) texture dominated Cu substrate. The strong interaction of additive with the copper seed layer plays a crucial role in the fast nt-Cu initiation and growth. Current density and nucleation density also play an essential role in the nt-Cu formation. Some level of additive adsorption is necessary to enable the critical nucleation density for a fast nt-Cu initiation, which increases with increasing current density. Nano-twinned Cu grain size decreases with rising deposition rate. However, further increases in deposition rate result in a slightly larger nt-Cu grain size. We can produce a uniform nt-Cu with a grain size of a few hundreds of nanometers when the current density is in the range of 30 to 60 mA/cm2, and additive concentration is 2.0 ml/L to 6.0 ml/L. Either strong or weak convection has minor effects on nt-Cu formation. With this process, an nt-Cu configuration is possible when plating regular pillar structures but also enables nano-twinned copper deposition for RDL lines and vias with recess.","PeriodicalId":192698,"journal":{"name":"2020 International Wafer Level Packaging Conference (IWLPC)","volume":"1997 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128212310","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Handling of Different FOPLP Layouts on Large Area Thermal Chucks 在大面积热卡盘上不同FOPLP布局的处理
Pub Date : 2020-10-13 DOI: 10.23919/IWLPC52010.2020.9375886
Debbie Claire Sanchez, Klemens Reitinger, Sophia Oldeide, Wenxuan Song
This paper intends to provide insight into the complexities of processing large panel format for Fan-out. It explicitly tackles handling and thermal treatment during the thermal debonding process, which is the method of separating the molded Fan-out panel from the metal plate carrier bonded by a thermal sensitive double-sided tape. Fan-out encounters two prevalent issues inherent to the structure of the package; die-shift and warpage. Both will be covered and have been taken into account in defining the correct process flow and control of panel-level thermal debonding. The handling mechanism of the panel will play a significant role in eliminating potential handling-induced factors. Thermal control, on the other hand, is essential to control die shift caused by any thermal introduction. This paper details how mechanical design, handling, and thermal control come into play to ensure that common issues for a Fan-out structure are also addressed in panel-level form. Different panel matrix layout is examined to identify how it reacts with the optimized process flow.
本文旨在提供深入了解处理大面板格式扇出的复杂性。它明确解决了热脱粘过程中的搬运和热处理问题,热脱粘是通过热敏双面胶带将成型的扇出板与金属板载体分离的方法。扇形输出遇到了两个固有的问题;模具移位和翘曲。在定义正确的工艺流程和面板级热剥离控制时,将涵盖并考虑到这两者。面板的处理机制将在消除潜在的处理诱发因素方面发挥重要作用。另一方面,热控制对于控制由任何热引入引起的模具移位是必不可少的。本文详细介绍了机械设计,处理和热控制如何发挥作用,以确保扇形结构的常见问题也以面板级形式得到解决。研究了不同的面板矩阵布局,以确定其与优化后的工艺流程的反应。
{"title":"Handling of Different FOPLP Layouts on Large Area Thermal Chucks","authors":"Debbie Claire Sanchez, Klemens Reitinger, Sophia Oldeide, Wenxuan Song","doi":"10.23919/IWLPC52010.2020.9375886","DOIUrl":"https://doi.org/10.23919/IWLPC52010.2020.9375886","url":null,"abstract":"This paper intends to provide insight into the complexities of processing large panel format for Fan-out. It explicitly tackles handling and thermal treatment during the thermal debonding process, which is the method of separating the molded Fan-out panel from the metal plate carrier bonded by a thermal sensitive double-sided tape. Fan-out encounters two prevalent issues inherent to the structure of the package; die-shift and warpage. Both will be covered and have been taken into account in defining the correct process flow and control of panel-level thermal debonding. The handling mechanism of the panel will play a significant role in eliminating potential handling-induced factors. Thermal control, on the other hand, is essential to control die shift caused by any thermal introduction. This paper details how mechanical design, handling, and thermal control come into play to ensure that common issues for a Fan-out structure are also addressed in panel-level form. Different panel matrix layout is examined to identify how it reacts with the optimized process flow.","PeriodicalId":192698,"journal":{"name":"2020 International Wafer Level Packaging Conference (IWLPC)","volume":"42 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115804908","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
High Resolution Dry-film Photo Imageable Dielectric (PID) Material for Fowlp, Foplp, and High Density Package Substrates 用于folp, Foplp和高密度封装基板的高分辨率干膜照片可成像介电(PID)材料
Pub Date : 2020-10-13 DOI: 10.23919/IWLPC52010.2020.9375865
Chihiro Funakoshi, D. Shibata, Daichi Okamoto, Y. Shibasaki, Yuya Suzuki
This paper reports a new dry-film type photo imageable dielectric (PID) material with fine patterning capability, which is suitable for FOWLP, FOPLP, and high density package substrates. Features of this material are; 1) Dry-film type for high surface planarity, 2) Low curing temperature (180 deg. C), 3) Low coefficient of thermal expansion (CTE), 4) High resolution for 6–10 μm via formation, 5) Resistance to organic solvents, and 6) High dielectric reliability. Flatness of the surface is advantageous for multi -layering, as well as fine pitch circuit patterning by semi-additive process (SAP). Low curing temperature is beneficial for reduction in internal stress. This PID has both low CTE of 35–45 ppm/deg. C and high resolution below $10 mu mathrm{m}$ which is excellent for multilayer RDL structures. This study focuses on how to improve solvent resistance and dielectric resistance of PID materials by material design. This study also performed reliability demonstration of the biased highly accelerated stress test (BHAST) with the PID material. Cu comb structures with line & space (L/S) = 2/2 μm were formed on the PID material by SAP and electrical voltage was applied under high temperature & moisture condition. It was confirmed that the PID material has high insulation reliability and kept more than 300 hours without electrical failure.
本文报道了一种新型干膜型光成像介质(PID)材料,该材料具有良好的图像化性能,适用于FOWLP、FOPLP和高密度封装基板。这种材料的特点是;1)干膜型,具有高表面平面度,2)低固化温度(180℃),3)低热膨胀系数(CTE), 4) 6 - 10 μm孔径的高分辨率,5)耐有机溶剂,6)高介电可靠性。表面的平整度有利于采用半增材工艺(SAP)进行多层和精细节距电路的制作。较低的固化温度有利于降低内应力。该PID具有35-45 ppm/度的低CTE。C和低于$10 mu mathm {m}$的高分辨率,非常适合多层RDL结构。本文主要研究如何通过材料设计来提高PID材料的耐溶剂性和耐介电性。本研究还对PID材料的偏置高加速应力测试(bast)进行了可靠性论证。采用SAP在PID材料上形成线间距(L/S) = 2/2 μm的Cu梳状结构,并在高温、潮湿条件下施加电压。经验证,PID材料绝缘可靠性高,保持300小时以上不发生电气故障。
{"title":"High Resolution Dry-film Photo Imageable Dielectric (PID) Material for Fowlp, Foplp, and High Density Package Substrates","authors":"Chihiro Funakoshi, D. Shibata, Daichi Okamoto, Y. Shibasaki, Yuya Suzuki","doi":"10.23919/IWLPC52010.2020.9375865","DOIUrl":"https://doi.org/10.23919/IWLPC52010.2020.9375865","url":null,"abstract":"This paper reports a new dry-film type photo imageable dielectric (PID) material with fine patterning capability, which is suitable for FOWLP, FOPLP, and high density package substrates. Features of this material are; 1) Dry-film type for high surface planarity, 2) Low curing temperature (180 deg. C), 3) Low coefficient of thermal expansion (CTE), 4) High resolution for 6–10 μm via formation, 5) Resistance to organic solvents, and 6) High dielectric reliability. Flatness of the surface is advantageous for multi -layering, as well as fine pitch circuit patterning by semi-additive process (SAP). Low curing temperature is beneficial for reduction in internal stress. This PID has both low CTE of 35–45 ppm/deg. C and high resolution below $10 mu mathrm{m}$ which is excellent for multilayer RDL structures. This study focuses on how to improve solvent resistance and dielectric resistance of PID materials by material design. This study also performed reliability demonstration of the biased highly accelerated stress test (BHAST) with the PID material. Cu comb structures with line & space (L/S) = 2/2 μm were formed on the PID material by SAP and electrical voltage was applied under high temperature & moisture condition. It was confirmed that the PID material has high insulation reliability and kept more than 300 hours without electrical failure.","PeriodicalId":192698,"journal":{"name":"2020 International Wafer Level Packaging Conference (IWLPC)","volume":"15 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126734699","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Submicron Lithography Enabling Panel Based Heterogeneous Integration 亚微米光刻实现基于面板的异构集成
Pub Date : 2020-10-13 DOI: 10.23919/IWLPC52010.2020.9375902
Doug Shelton, Ken-ichiro Mori, Y. Goto, Hiroyuki Wada, Hideo Tanaka, Hiromi Suda, Seiya Miura
High-Performance Computing (HPC) systems increasingly adopt Heterogeneous Integration (HI) technologies that utilize large substrates and high-resolution processes to facilitate die and chiplet. Fan-Out Wafer Level Packaging (FOWLP) and silicon interposers using high-density Redistribution Layers (RDL) can help maximize bandwidth and performance but HI roadmaps require improvements in resolution and lower costs to enable wide adoption of these More-than-Moore technologies. Panel based processes can provide cost advantages compared to wafer processes for fabrication of large interposers and Fan-Out packages. Panel based SiP processes however demand submicron resolution over a large field size and uniform exposure across large panels. To meet these challenges, Canon developed the first lithography exposure system capable of achieving submicron resolution on large panels. The new panel exposure tool targets 0.8 μm design rules and utilizes a new panel handling system and stage that allows processing of panels as large as 515 × 515 mm. The new panel exposure tool is equipped with a UL82 wide-field projection lens with a maximum Numerical Aperture (NA) of 0.24 and offers a 52 × 68 mm exposure field for large device fabrication without stitching adjacent shots. Fine-RDL lithography systems must provide a large Depth-of-Focus (DoF) to maintain pattern fidelity to maximize DoF, the new panel exposure tool applies die-by-die focus and tilt compensation and functions to compensate for panel warpage. Process factors related to DoF include panel flatness and photoresist materials and film uniformity. This paper details test results from a panel exposure system that confirms the feasibility and advantages of submicron panel processes. We also introduce additional challenges related to panel processes including slit-coater uniformity, photo resist materials and panel flatness. We will present data illustrating that new panel exposure tool can provide excellent resolution across large exposure fields on panels to enable HI innovation.
高性能计算(HPC)系统越来越多地采用异构集成(HI)技术,这些技术利用大型基板和高分辨率工艺来促进芯片和芯片的发展。扇出晶圆级封装(FOWLP)和使用高密度再分布层(RDL)的硅中间层可以帮助最大限度地提高带宽和性能,但HI路线图需要提高分辨率和降低成本,才能广泛采用这些“超越摩尔”技术。与制造大型中间层和扇出封装的晶圆工艺相比,基于面板的工艺可以提供成本优势。然而,基于面板的SiP工艺要求在大视场尺寸上实现亚微米级的分辨率,并在大面板上均匀曝光。为了应对这些挑战,佳能开发了第一个能够在大型面板上实现亚微米分辨率的光刻曝光系统。新的面板曝光工具针对0.8 μm的设计规则,采用新的面板处理系统和工作台,可以处理515 × 515 mm的面板。新的面板曝光工具配备了UL82宽视场投影镜头,最大数值孔径(NA)为0.24,并提供52 × 68 mm的曝光场,用于大型器件制造,而无需拼接相邻镜头。Fine-RDL光刻系统必须提供大的焦深(DoF)以保持图案保真度以最大化DoF,新的面板曝光工具采用逐模对焦和倾斜补偿以及补偿面板翘曲的功能。与DoF相关的工艺因素包括面板平整度、光刻胶材料和薄膜均匀性。本文详细介绍了面板曝光系统的测试结果,证实了亚微米面板工艺的可行性和优越性。我们还介绍了与面板工艺相关的其他挑战,包括狭缝涂布机均匀性,光刻胶材料和面板平整度。我们将展示数据,说明新的面板曝光工具可以在面板上的大曝光场上提供出色的分辨率,从而实现HI创新。
{"title":"Submicron Lithography Enabling Panel Based Heterogeneous Integration","authors":"Doug Shelton, Ken-ichiro Mori, Y. Goto, Hiroyuki Wada, Hideo Tanaka, Hiromi Suda, Seiya Miura","doi":"10.23919/IWLPC52010.2020.9375902","DOIUrl":"https://doi.org/10.23919/IWLPC52010.2020.9375902","url":null,"abstract":"High-Performance Computing (HPC) systems increasingly adopt Heterogeneous Integration (HI) technologies that utilize large substrates and high-resolution processes to facilitate die and chiplet. Fan-Out Wafer Level Packaging (FOWLP) and silicon interposers using high-density Redistribution Layers (RDL) can help maximize bandwidth and performance but HI roadmaps require improvements in resolution and lower costs to enable wide adoption of these More-than-Moore technologies. Panel based processes can provide cost advantages compared to wafer processes for fabrication of large interposers and Fan-Out packages. Panel based SiP processes however demand submicron resolution over a large field size and uniform exposure across large panels. To meet these challenges, Canon developed the first lithography exposure system capable of achieving submicron resolution on large panels. The new panel exposure tool targets 0.8 μm design rules and utilizes a new panel handling system and stage that allows processing of panels as large as 515 × 515 mm. The new panel exposure tool is equipped with a UL82 wide-field projection lens with a maximum Numerical Aperture (NA) of 0.24 and offers a 52 × 68 mm exposure field for large device fabrication without stitching adjacent shots. Fine-RDL lithography systems must provide a large Depth-of-Focus (DoF) to maintain pattern fidelity to maximize DoF, the new panel exposure tool applies die-by-die focus and tilt compensation and functions to compensate for panel warpage. Process factors related to DoF include panel flatness and photoresist materials and film uniformity. This paper details test results from a panel exposure system that confirms the feasibility and advantages of submicron panel processes. We also introduce additional challenges related to panel processes including slit-coater uniformity, photo resist materials and panel flatness. We will present data illustrating that new panel exposure tool can provide excellent resolution across large exposure fields on panels to enable HI innovation.","PeriodicalId":192698,"journal":{"name":"2020 International Wafer Level Packaging Conference (IWLPC)","volume":"25 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116335071","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Optimization of Low Temperature PECVD Dielectric Stacks foR Via Reveal Passivation 经孔显示钝化低温PECVD介电堆的优化
Pub Date : 2020-10-13 DOI: 10.23919/IWLPC52010.2020.9375872
Kath Crook, Mark Carruthers, D. Archard, S. Burgess, K. Buchanan
Advanced packaging technologies, incorporating through-silicon vias (TSVs) have the potential to improve functionality and electrical performance of semiconductor devices in a reduced package size. Such technologies are coming to prominence for devices requiring high bandwidth memory in emerging applications such as self-driving cars, machine learning and real-time speech processing [1]–[3]. In ‘via-middle’ process flows, the TSVs are exposed from the back side of the wafer by grind and plasma etch steps. Dielectric layers deposited using Plasma Enhanced Chemical Vapor Deposition (PECVD) serve to passivate and mechanically support the exposed TSV prior to bump/RDL formation and then chip-to-wafer or wafer-to-wafer bonding. Prior to via reveal processing, device wafers are bonded to silicon or glass carriers and thinned to around 50μm. The temporary bonding material imposes a temperature constraint of ~190°C during all subsequent via reveal process steps. This temperature constraint is especially challenging for the PECVD passivation processes where films with stable electrical and mechanical properties are required. Controlling PECVD film stress is also critical as stresses can cause excessive wafer bow in thinned wafers unless countermeasures are taken. While average stress must be controlled, it is also critical to minimize within-wafer stress as this will impact die-level bow and affect subsequent die-attach processes. In this paper, we report on silicon nitride (SiN) - silicon oxide (SiO) stacks deposited at <190°C which give excellent electrical properties with leakage current densities < 1E-9 A.cm-2 and breakdown voltages >10 MV.cm-1. These films are also optimized in terms of step coverage and stress characteristics. Crucially, electrical properties and stack stress are shown to be stable with no moisture absorption or drift in film properties over time when exposed to atmosphere.
采用硅通孔(tsv)的先进封装技术有可能在缩小封装尺寸的情况下提高半导体器件的功能和电气性能。在自动驾驶汽车、机器学习和实时语音处理等新兴应用中,这些技术对于需要高带宽内存的设备越来越重要[1]-[3]。在“中路”工艺流程中,tsv通过研磨和等离子蚀刻步骤从晶圆背面暴露出来。使用等离子体增强化学气相沉积(PECVD)沉积的介电层用于钝化和机械支持暴露的TSV,然后在凹凸/RDL形成之前,然后在芯片到晶片或晶片到晶片之间进行键合。在通过揭示加工之前,器件晶圆被粘合到硅或玻璃载体上,并薄至50μm左右。在所有随后的通孔显露工艺步骤中,临时粘合材料施加了~190°C的温度约束。这种温度限制对于PECVD钝化工艺来说尤其具有挑战性,因为PECVD钝化工艺需要具有稳定的电气和机械性能的薄膜。控制PECVD薄膜应力也是至关重要的,因为应力可能导致薄晶圆过度弯曲,除非采取对策。虽然必须控制平均应力,但最小化晶圆内应力也至关重要,因为这将影响模具水平弯曲并影响随后的模具附加过程。本文报道了在10 MV.cm-1下沉积氮化硅(SiN) -氧化硅(SiO)叠层。这些薄膜在台阶覆盖和应力特性方面也进行了优化。至关重要的是,电性能和堆叠应力是稳定的,当暴露在大气中时,膜的性能不会随着时间的推移而吸收水分或漂移。
{"title":"Optimization of Low Temperature PECVD Dielectric Stacks foR Via Reveal Passivation","authors":"Kath Crook, Mark Carruthers, D. Archard, S. Burgess, K. Buchanan","doi":"10.23919/IWLPC52010.2020.9375872","DOIUrl":"https://doi.org/10.23919/IWLPC52010.2020.9375872","url":null,"abstract":"Advanced packaging technologies, incorporating through-silicon vias (TSVs) have the potential to improve functionality and electrical performance of semiconductor devices in a reduced package size. Such technologies are coming to prominence for devices requiring high bandwidth memory in emerging applications such as self-driving cars, machine learning and real-time speech processing [1]–[3]. In ‘via-middle’ process flows, the TSVs are exposed from the back side of the wafer by grind and plasma etch steps. Dielectric layers deposited using Plasma Enhanced Chemical Vapor Deposition (PECVD) serve to passivate and mechanically support the exposed TSV prior to bump/RDL formation and then chip-to-wafer or wafer-to-wafer bonding. Prior to via reveal processing, device wafers are bonded to silicon or glass carriers and thinned to around 50μm. The temporary bonding material imposes a temperature constraint of ~190°C during all subsequent via reveal process steps. This temperature constraint is especially challenging for the PECVD passivation processes where films with stable electrical and mechanical properties are required. Controlling PECVD film stress is also critical as stresses can cause excessive wafer bow in thinned wafers unless countermeasures are taken. While average stress must be controlled, it is also critical to minimize within-wafer stress as this will impact die-level bow and affect subsequent die-attach processes. In this paper, we report on silicon nitride (SiN) - silicon oxide (SiO) stacks deposited at <190°C which give excellent electrical properties with leakage current densities < 1E-9 A.cm-2 and breakdown voltages >10 MV.cm-1. These films are also optimized in terms of step coverage and stress characteristics. Crucially, electrical properties and stack stress are shown to be stable with no moisture absorption or drift in film properties over time when exposed to atmosphere.","PeriodicalId":192698,"journal":{"name":"2020 International Wafer Level Packaging Conference (IWLPC)","volume":"55 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131607907","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Advanced RDL Interposer PKG Technology for Heterogeneous Integration 面向异构集成的先进RDL Interposer PKG技术
Pub Date : 2020-10-13 DOI: 10.23919/IWLPC52010.2020.9375895
Jae-gwon Jang, Kyoung-Lim Suk, S. Lee, Jinha Park, Gwang-jae Jeon, Jung-ho Park, Jeong-gi Jin, Su-chang Lee, Gyoung-bum Kim, Joonhyuk Choi, Dae-woo Kim, D. Oh, Won-Kyoung Choi
As faster data processing and communication gets more demanded for Data Center/Cloud, HPC (High Performance Computing), AI (Artificial Intelligence) accelerator and Network markets, HBM (High Bandwidth Memory) becomes main memory type to meet the required bandwidth performance. HBM integration with logic dies in a system level has been developed on 2.5D SiP (System in Package) Platform with Si Interposer having TSV (Through Silicon Vias) of which fabrication cost is rather high. Therefore, as the low cost solution, alternative 2.5D SiP Platform approaches such as Organic Interposer using Redistribution Layer (RDL) and Glass Interposer have recently been reported. In this paper, RDL Interposer package with 4 HBM and 1 logic is demonstrated as 2.5D package platform based on RDL-First Fan-out Wafer Level Package (FOWLP). The effect of RDL design factors on electrical performances is investigated using the eye diagram method and fine pitch multi-layer RDL structure (2um L/S RDL, 4 Layers) is designed accordingly. Fine pitch RDL process is established followed by the wafer level and unit level assembly processes and RDL Interposer package is confirmed to meet all the reliability requirements.
随着数据中心/云、HPC(高性能计算)、AI(人工智能)加速器和网络市场对更快的数据处理和通信的需求越来越高,HBM(高带宽内存)成为满足带宽性能要求的主要内存类型。在具有TSV (Through Silicon Vias)的Si Interposer的2.5D SiP (system in Package)平台上开发了系统级HBM集成逻辑芯片,其制造成本相当高。因此,作为低成本的解决方案,替代的2.5D SiP平台方法,如使用再分配层(RDL)的有机中间层和玻璃中间层,最近被报道。本文以RDL- first扇出晶圆级封装(FOWLP)为基础,以4 HBM和1逻辑的RDL Interposer封装为2.5D封装平台。采用眼图法研究了RDL设计因素对电性能的影响,并据此设计了细间距多层RDL结构(2um L/S RDL, 4层)。建立了小间距RDL工艺,随后进行了晶圆级和单元级组装工艺,并确认了RDL Interposer封装满足所有可靠性要求。
{"title":"Advanced RDL Interposer PKG Technology for Heterogeneous Integration","authors":"Jae-gwon Jang, Kyoung-Lim Suk, S. Lee, Jinha Park, Gwang-jae Jeon, Jung-ho Park, Jeong-gi Jin, Su-chang Lee, Gyoung-bum Kim, Joonhyuk Choi, Dae-woo Kim, D. Oh, Won-Kyoung Choi","doi":"10.23919/IWLPC52010.2020.9375895","DOIUrl":"https://doi.org/10.23919/IWLPC52010.2020.9375895","url":null,"abstract":"As faster data processing and communication gets more demanded for Data Center/Cloud, HPC (High Performance Computing), AI (Artificial Intelligence) accelerator and Network markets, HBM (High Bandwidth Memory) becomes main memory type to meet the required bandwidth performance. HBM integration with logic dies in a system level has been developed on 2.5D SiP (System in Package) Platform with Si Interposer having TSV (Through Silicon Vias) of which fabrication cost is rather high. Therefore, as the low cost solution, alternative 2.5D SiP Platform approaches such as Organic Interposer using Redistribution Layer (RDL) and Glass Interposer have recently been reported. In this paper, RDL Interposer package with 4 HBM and 1 logic is demonstrated as 2.5D package platform based on RDL-First Fan-out Wafer Level Package (FOWLP). The effect of RDL design factors on electrical performances is investigated using the eye diagram method and fine pitch multi-layer RDL structure (2um L/S RDL, 4 Layers) is designed accordingly. Fine pitch RDL process is established followed by the wafer level and unit level assembly processes and RDL Interposer package is confirmed to meet all the reliability requirements.","PeriodicalId":192698,"journal":{"name":"2020 International Wafer Level Packaging Conference (IWLPC)","volume":"34 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2020-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126934891","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
期刊
2020 International Wafer Level Packaging Conference (IWLPC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1