首页 > 最新文献

Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems最新文献

英文 中文
A study on a high-speed Gaussian random number generator 高速高斯随机数发生器的研究
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569211
Byungyang Ahn
Gaussian random number generators are employed to simulate the fading phenomena and additive white Gaussian noise of the radio channel. High speed Gaussian random number generators are most important components for the real-time channel simulation of the CDMA systems, because of channel's wideband nature. In this paper we suggest a Gaussian random number generation method, based on central limit theorem with the simple probability density conversion before addition. Using this technique, we can omit some of the input random numbers and adders, which are relatively complex components in the hardware logic of the random number generator.
利用高斯随机数发生器模拟无线电信道的衰落现象和加性高斯白噪声。高速高斯随机数发生器是CDMA系统实时信道仿真的重要组成部分,因为信道具有宽带特性。本文提出了一种基于中心极限定理的高斯随机数生成方法,并进行了简单的概率密度转换。使用这种技术,我们可以省略一些输入随机数和加法器,它们是随机数生成器硬件逻辑中相对复杂的组件。
{"title":"A study on a high-speed Gaussian random number generator","authors":"Byungyang Ahn","doi":"10.1109/APCAS.1996.569211","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569211","url":null,"abstract":"Gaussian random number generators are employed to simulate the fading phenomena and additive white Gaussian noise of the radio channel. High speed Gaussian random number generators are most important components for the real-time channel simulation of the CDMA systems, because of channel's wideband nature. In this paper we suggest a Gaussian random number generation method, based on central limit theorem with the simple probability density conversion before addition. Using this technique, we can omit some of the input random numbers and adders, which are relatively complex components in the hardware logic of the random number generator.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81272128","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
A 3-V, 1.47-mW, 120-MHz comparator for use in a pipeline ADC 用于流水线ADC的3 v, 1.47 mw, 120 mhz比较器
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569303
J. Ho, H. Cam Luong
A low-voltage and low-power comparator suitable for use in a pipeline analog-to-digital converter is implemented in CMOS 0.8 /spl mu/m technology. The maximum clock frequency with DC inputs is 160 MHz. With a 10 MHz input sine wave at v/sub i+/, DC at v/sub i-/, and a clock frequency of 120 MHz, the measured rise-time, fail-time, delay-time, and power consumption are 1.28 ns, 1.37 ns, 1.60 ns and 1.47 mW, respectively. The optimization issue of the comparator is discussed.
采用CMOS 0.8 /spl mu/m技术实现了一种适用于流水线模数转换器的低压低功耗比较器。直流输入时时钟频率最高可达160mhz。当输入正弦波电压为v/sub i+/,直流电压为v/sub i-/,时钟频率为120 MHz时,测量到的上升时间、故障时间、延迟时间和功耗分别为1.28 ns、1.37 ns、1.60 ns和1.47 mW。讨论了比较器的优化问题。
{"title":"A 3-V, 1.47-mW, 120-MHz comparator for use in a pipeline ADC","authors":"J. Ho, H. Cam Luong","doi":"10.1109/APCAS.1996.569303","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569303","url":null,"abstract":"A low-voltage and low-power comparator suitable for use in a pipeline analog-to-digital converter is implemented in CMOS 0.8 /spl mu/m technology. The maximum clock frequency with DC inputs is 160 MHz. With a 10 MHz input sine wave at v/sub i+/, DC at v/sub i-/, and a clock frequency of 120 MHz, the measured rise-time, fail-time, delay-time, and power consumption are 1.28 ns, 1.37 ns, 1.60 ns and 1.47 mW, respectively. The optimization issue of the comparator is discussed.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89560852","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 18
Interconnect simulation based on passivity and method of characteristics 基于无源性和特性方法的互联仿真
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569311
E. Kuh, J. Mao, M.L. Wang
Interconnect analysis modeling, and simulation will play a major role in the future design of submicron IC and electronic packaging. Existing simulation methods by and large depend on convolution and the well-known Pade approximation of transcendental functions which characterize transmission lines. Unfortunately, Pade approximation does not guarantee stability. In this paper two methods are presented. One is based on using the concept of passivity, and the other uses the traditional method of characteristics. Preliminary results obtained on simple examples are very encouraging.
互连分析、建模和仿真将在未来的亚微米集成电路和电子封装设计中发挥重要作用。现有的仿真方法在很大程度上依赖于传输线的超越函数的卷积和著名的Pade近似。不幸的是,Pade近似不能保证稳定性。本文提出了两种方法。一种是基于使用被动性的概念,另一种是使用传统的特征方法。在简单实例上得到的初步结果是令人鼓舞的。
{"title":"Interconnect simulation based on passivity and method of characteristics","authors":"E. Kuh, J. Mao, M.L. Wang","doi":"10.1109/APCAS.1996.569311","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569311","url":null,"abstract":"Interconnect analysis modeling, and simulation will play a major role in the future design of submicron IC and electronic packaging. Existing simulation methods by and large depend on convolution and the well-known Pade approximation of transcendental functions which characterize transmission lines. Unfortunately, Pade approximation does not guarantee stability. In this paper two methods are presented. One is based on using the concept of passivity, and the other uses the traditional method of characteristics. Preliminary results obtained on simple examples are very encouraging.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77684331","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Accurate logic-level power simulation using glitch filtering and estimation 精确的逻辑级功率仿真使用故障滤波和估计
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569279
W. Tsai, C. Shung, D.C. Wang
A power estimation tool is required to be faster and more accurate when the power consumption is the chief concern during chip design. A logic-level simulator is a good choice for estimating the power consumption of a chip design. In this paper we attempt to improve the accuracy of a logic-level simulator using glitch filtering and estimation techniques. We use the logic-level simulator to filter some glitches and estimate the glitch power. We use slope of transition and the time interval of two consecutive transitions to decide whether these transitions are partial glitches or full transitions. We estimate the transition power of each transition event and summed them. The power simulation error is reduced from 35.8% to 7.9% referring to the Spice simulation.
当功耗是芯片设计过程中主要关注的问题时,需要一个更快、更准确的功耗估计工具。逻辑级模拟器是估计芯片设计功耗的一个很好的选择。在本文中,我们尝试使用故障滤波和估计技术来提高逻辑电平模拟器的精度。我们使用逻辑电平模拟器来过滤一些故障并估计故障功率。我们使用过渡的斜率和两个连续过渡的时间间隔来确定这些过渡是部分故障还是完全过渡。我们估计了每个转移事件的转移功率,并对它们求和。参考Spice仿真,功率仿真误差从35.8%降低到7.9%。
{"title":"Accurate logic-level power simulation using glitch filtering and estimation","authors":"W. Tsai, C. Shung, D.C. Wang","doi":"10.1109/APCAS.1996.569279","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569279","url":null,"abstract":"A power estimation tool is required to be faster and more accurate when the power consumption is the chief concern during chip design. A logic-level simulator is a good choice for estimating the power consumption of a chip design. In this paper we attempt to improve the accuracy of a logic-level simulator using glitch filtering and estimation techniques. We use the logic-level simulator to filter some glitches and estimate the glitch power. We use slope of transition and the time interval of two consecutive transitions to decide whether these transitions are partial glitches or full transitions. We estimate the transition power of each transition event and summed them. The power simulation error is reduced from 35.8% to 7.9% referring to the Spice simulation.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91508005","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Low bit-rate image coding for facial movement 面部运动的低比特率图像编码
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569205
K. Takaya, R. T. Reinhardt
Low bit-rate facial image coding for intended applications to video telephone is presented. The basic principle for this facial image coding is to use 2D image warping techniques in generating successive video frames from a stored master image. Setting global and local grids, the use of attractant/repellant masses, and bilinear mapping for painting a picture are described along with the necessary image analysis for parameter extraction.
提出了一种适用于视频电话的低比特率人脸图像编码方法。这种面部图像编码的基本原理是使用二维图像扭曲技术从存储的主图像生成连续的视频帧。设置全局和局部网格,使用引诱剂/驱避剂质量,以及绘制图像的双线性映射,以及参数提取所需的图像分析。
{"title":"Low bit-rate image coding for facial movement","authors":"K. Takaya, R. T. Reinhardt","doi":"10.1109/APCAS.1996.569205","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569205","url":null,"abstract":"Low bit-rate facial image coding for intended applications to video telephone is presented. The basic principle for this facial image coding is to use 2D image warping techniques in generating successive video frames from a stored master image. Setting global and local grids, the use of attractant/repellant masses, and bilinear mapping for painting a picture are described along with the necessary image analysis for parameter extraction.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90320874","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
The latest FTTH technologies for full service access networks 全业务接入网的最新FTTH技术
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569268
I. Yamashita
Current strong demands on computer communication services like internet access services require economical solutions to provide broadband capabilities to access networks. Several approaches to broaden the so-called access bottleneck have been proposed and tested, that is, HFC using cable modems, metallic cables using ADSL, wireless access, FTTC using VDSL and FTTH. In this paper, the latest technologies to realize economically feasible FTTH systems are presented. The requirements and system technologies to provide various multimedia services as well as conventional telephone services are shown. Various approaches to reduce the access network cost are introduced from system and component technologies point of views. Three types of FTTH systems, Narrowband FTTH, Video Distribution FTTH and High Speed FTTH based on ATM techniques are presented. The international collaboration on full service access networks is discussed. Finally, the field trials of FTTH in Japan are illustrated.
目前对互联网接入服务等计算机通信服务的强烈需求需要经济的解决方案来提供宽带接入网络的能力。已经提出并测试了几种扩大所谓接入瓶颈的方法,即使用电缆调制解调器的HFC,使用ADSL的金属电缆,无线接入,使用VDSL和FTTH的FTTC。本文介绍了实现经济可行的FTTH系统的最新技术。介绍了提供各种多媒体业务和传统电话业务的要求和系统技术。从系统技术和组件技术的角度介绍了降低接入网成本的各种方法。介绍了基于ATM技术的三种光纤到户系统:窄带光纤到户、视频分配光纤到户和高速光纤到户。讨论了全业务接入网的国际合作。最后,介绍了日本FTTH的现场试验情况。
{"title":"The latest FTTH technologies for full service access networks","authors":"I. Yamashita","doi":"10.1109/APCAS.1996.569268","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569268","url":null,"abstract":"Current strong demands on computer communication services like internet access services require economical solutions to provide broadband capabilities to access networks. Several approaches to broaden the so-called access bottleneck have been proposed and tested, that is, HFC using cable modems, metallic cables using ADSL, wireless access, FTTC using VDSL and FTTH. In this paper, the latest technologies to realize economically feasible FTTH systems are presented. The requirements and system technologies to provide various multimedia services as well as conventional telephone services are shown. Various approaches to reduce the access network cost are introduced from system and component technologies point of views. Three types of FTTH systems, Narrowband FTTH, Video Distribution FTTH and High Speed FTTH based on ATM techniques are presented. The international collaboration on full service access networks is discussed. Finally, the field trials of FTTH in Japan are illustrated.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91007215","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
Fault-tolerant meshes with efficient layouts 具有高效布局的容错网格
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569315
Toshinori Yamada, S. Ueno
This paper presents a practical fault-tolerant architecture for mesh parallel machines that has only one spare processor and has only six communication links per processor while tolerating one processor fault and one communication link fault, or two communication link faults. We also show that the architecture presented here can be laid out efficiently in a linear area with wire length at most six.
本文提出了一种实用的网格并行机容错体系结构,该结构适用于只有一个备用处理器,每处理器只有6条通信链路,同时允许一个处理器故障和一个通信链路故障,或两个通信链路故障。我们还表明,这里提出的架构可以有效地布置在线形区域,导线长度最多为6。
{"title":"Fault-tolerant meshes with efficient layouts","authors":"Toshinori Yamada, S. Ueno","doi":"10.1109/APCAS.1996.569315","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569315","url":null,"abstract":"This paper presents a practical fault-tolerant architecture for mesh parallel machines that has only one spare processor and has only six communication links per processor while tolerating one processor fault and one communication link fault, or two communication link faults. We also show that the architecture presented here can be laid out efficiently in a linear area with wire length at most six.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82594148","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Methodology is the future 方法论是未来
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569269
D. Gajski
With recent success in VLSI and CAD technologies more and more companies are looking into tradeoffs for the complete product specification, design and manufacturing in order to increase their market share or time-to-market for their products. This new emphasis moves the focus of design sciences into areas of market research, requirements capture and analysis, executable specification generation, system exploration with different architectures, technologies and libraries and software/hardware/mechanical codesign where the future productivity gain is the largest. With this new emphasis companies are trying to shorten the conceptualization, design and manufacturing time in order to introduce new models every year. In this paper we propose a design methodology that can shorten the design cycle significantly. This is achieved by specifying the design at the highest level of abstractions and using powerful tools to complete the rest of the design. We also reflect on a design example that was generated using this methodology.
随着最近VLSI和CAD技术的成功,越来越多的公司正在寻找完整的产品规格,设计和制造的权衡,以增加其产品的市场份额或上市时间。这种新的重点将设计科学的焦点转移到市场研究、需求捕获和分析、可执行规范生成、不同架构、技术和库以及软件/硬件/机械协同设计的系统探索领域,在这些领域,未来的生产力增益是最大的。在这个新的重点下,公司正试图缩短概念化、设计和制造时间,以便每年推出新车型。在本文中,我们提出了一种可以显著缩短设计周期的设计方法。这是通过在最高抽象级别指定设计并使用强大的工具来完成其余设计来实现的。我们还反思了一个使用这种方法生成的设计示例。
{"title":"Methodology is the future","authors":"D. Gajski","doi":"10.1109/APCAS.1996.569269","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569269","url":null,"abstract":"With recent success in VLSI and CAD technologies more and more companies are looking into tradeoffs for the complete product specification, design and manufacturing in order to increase their market share or time-to-market for their products. This new emphasis moves the focus of design sciences into areas of market research, requirements capture and analysis, executable specification generation, system exploration with different architectures, technologies and libraries and software/hardware/mechanical codesign where the future productivity gain is the largest. With this new emphasis companies are trying to shorten the conceptualization, design and manufacturing time in order to introduce new models every year. In this paper we propose a design methodology that can shorten the design cycle significantly. This is achieved by specifying the design at the highest level of abstractions and using powerful tools to complete the rest of the design. We also reflect on a design example that was generated using this methodology.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83576191","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Design of linear phase FIR digital filters using minimal number of adders and subtractors 采用最小加减法器的线性相位FIR数字滤波器的设计
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569272
M. Yagyu, M. Shiratori, A. Nishihara
Linear phase FIR digital filters whose coefficients are expressed as canonic signed digit (CSD) code can be efficiently realized by using a small number of adders and subtractors instead of multipliers. Common uses of the adders and subtractors can further reduce the number of adders and subtractors (NAS). The use of additional adders and subtractors to recover the reduction can improve the frequency responses of those filters. An algorithm to optimize the frequency responses of such filters is proposed. Many examples confirm that, using the specified NAS, the obtained filters leave better frequency responses compared to the conventional CSD structures.
线性相位FIR数字滤波器的系数表示为标准符号数字(CSD)码,可以通过使用少量加减法器而不是乘法器来有效地实现。加减法器的常用用法可以进一步减少加减法器(NAS)的数量。使用额外的加法器和减法器来恢复减少可以改善这些滤波器的频率响应。提出了一种优化滤波器频率响应的算法。许多例子证实,使用指定的NAS,与传统的CSD结构相比,获得的滤波器具有更好的频率响应。
{"title":"Design of linear phase FIR digital filters using minimal number of adders and subtractors","authors":"M. Yagyu, M. Shiratori, A. Nishihara","doi":"10.1109/APCAS.1996.569272","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569272","url":null,"abstract":"Linear phase FIR digital filters whose coefficients are expressed as canonic signed digit (CSD) code can be efficiently realized by using a small number of adders and subtractors instead of multipliers. Common uses of the adders and subtractors can further reduce the number of adders and subtractors (NAS). The use of additional adders and subtractors to recover the reduction can improve the frequency responses of those filters. An algorithm to optimize the frequency responses of such filters is proposed. Many examples confirm that, using the specified NAS, the obtained filters leave better frequency responses compared to the conventional CSD structures.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90070352","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
An implementation of the 155M physical layer ASIC for ATM network-node interface 155M物理层专用集成电路用于ATM网络节点接口的实现
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569213
C. Suh, Sung-Do Kim, H. Jung, Sang-Hoon Choi, Gui Dong Kim, W. Song, Kyung-Soo Kim
This paper describes an implementation of the 155M physical layer ASIC for ATM network-node interface, which contains transmit synthesizer, receive bit synchronizer, transmission convergence, the microprocessor interface and UTOPIA (Universal test and operation of the PHY interface for ATM). This ASIC fully conforms the recommendations of ITU-T and ATM forum. This chip was implemented in a 0.8 /spl mu/m double metal, n-well CMOS process. A total of 320,960 transistors were integrated on 9 mm/spl times/9.2 mm silicon chip that consumes a maximum of 1.02 W power at 5 V using a 155 MHz clock.
本文介绍了一种用于ATM网络节点接口的155M物理层专用集成电路的实现,该集成电路包括发送合成器、接收位同步器、传输收敛器、微处理器接口和ATM物理接口通用测试与操作UTOPIA。该ASIC完全符合ITU-T和ATM论坛的建议。该芯片采用0.8 /spl mu/m双金属n阱CMOS工艺实现。在9mm /spl times/9.2 mm硅芯片上集成了320,960个晶体管,在5v时使用155 MHz时钟,最大功耗为1.02 W。
{"title":"An implementation of the 155M physical layer ASIC for ATM network-node interface","authors":"C. Suh, Sung-Do Kim, H. Jung, Sang-Hoon Choi, Gui Dong Kim, W. Song, Kyung-Soo Kim","doi":"10.1109/APCAS.1996.569213","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569213","url":null,"abstract":"This paper describes an implementation of the 155M physical layer ASIC for ATM network-node interface, which contains transmit synthesizer, receive bit synchronizer, transmission convergence, the microprocessor interface and UTOPIA (Universal test and operation of the PHY interface for ATM). This ASIC fully conforms the recommendations of ITU-T and ATM forum. This chip was implemented in a 0.8 /spl mu/m double metal, n-well CMOS process. A total of 320,960 transistors were integrated on 9 mm/spl times/9.2 mm silicon chip that consumes a maximum of 1.02 W power at 5 V using a 155 MHz clock.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74603936","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1