首页 > 最新文献

Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems最新文献

英文 中文
GA-based design of multiplierless 2-D digital filters with very low roundoff noise 基于ga的低舍入噪声无乘法器二维数字滤波器设计
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569259
Young-Ho Lee, M. Kawamata, T. Higuchi
This paper presents a new design method for multiplierless 2-D state-space digital filters (SSDFs). In order to eliminate multipliers in the hardware implementation, the resulting multiplierless 2-D SSDFs are designed under the constraint that all coefficients are represented by the sum of two powers-of-two terms. Thus they are attractive for low cost implementation and high-speed operation, since the signal in the filters can be processed by fewer shifting operations and additions instead of multiplications. Because of having very low roundoff noise, they can also perform highly accurate 2-D digital filtering. Here a combinatorial optimization procedure called genetic algorithm has been used to determine the coefficients. The effectiveness of the proposed method is demonstrated with a design example.
提出了一种新的无乘法器二维状态空间数字滤波器的设计方法。为了消除硬件实现中的乘数,在所有系数都由2的两次幂和表示的约束下,设计了无乘数的二维ssdf。因此,它们对于低成本实现和高速运行具有吸引力,因为滤波器中的信号可以通过更少的移位操作和加法而不是乘法来处理。由于具有非常低的舍入噪声,它们还可以执行高精度的二维数字滤波。这里使用了一种称为遗传算法的组合优化程序来确定系数。通过一个设计实例验证了该方法的有效性。
{"title":"GA-based design of multiplierless 2-D digital filters with very low roundoff noise","authors":"Young-Ho Lee, M. Kawamata, T. Higuchi","doi":"10.1109/APCAS.1996.569259","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569259","url":null,"abstract":"This paper presents a new design method for multiplierless 2-D state-space digital filters (SSDFs). In order to eliminate multipliers in the hardware implementation, the resulting multiplierless 2-D SSDFs are designed under the constraint that all coefficients are represented by the sum of two powers-of-two terms. Thus they are attractive for low cost implementation and high-speed operation, since the signal in the filters can be processed by fewer shifting operations and additions instead of multiplications. Because of having very low roundoff noise, they can also perform highly accurate 2-D digital filtering. Here a combinatorial optimization procedure called genetic algorithm has been used to determine the coefficients. The effectiveness of the proposed method is demonstrated with a design example.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88346150","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A performance-oriented circuit partitioning algorithm with logic-block replication for multi-FPGA systems 一种面向性能的多fpga系统逻辑块复制电路划分算法
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569274
N. Togawa, M. Sato, T. Ohtsuki
This paper proposes a circuit partitioning algorithm in which the delay of each critical signal path is within a specified upper bound. Its core is recursive bipartitioning of a circuit which consists of three stages: (0) detection of critical paths; (1) bipartitioning of a set of primary inputs and outputs; and (2) bipartitioning of a set of logic-blocks. In (0), the algorithm detects the critical paths based on their lower bounds of delays. The delays of the critical paths are reduced with higher priority. In (1), the algorithm attempts to assign the primary input and output on each critical path to one chip. In (2), the algorithm not only decreases the number of crossings between chips but also assigns the logic blocks on each critical path to one chip by exploiting a network flow technique with logic-block replication. The experimental results demonstrate that it resolves almost all path delay constraints with the maximum number of required I/O blocks per chip small compared with conventional algorithms.
本文提出了一种电路划分算法,其中每个关键信号路径的延迟都在一个指定的上界内。其核心是电路的递归双分划,分为三个阶段:(0)关键路径检测;(1)对一组主要投入和产出进行双划分;(2)一组逻辑块的二分划。在(0)中,算法根据关键路径的延迟下界检测关键路径。关键路径的时延降低,优先级越高。在(1)中,算法试图将每个关键路径上的主输入输出分配给一个芯片。在(2)中,该算法不仅减少了芯片之间的交叉次数,而且利用具有逻辑块复制的网络流技术,将每个关键路径上的逻辑块分配给一个芯片。实验结果表明,与传统算法相比,该算法可以解决几乎所有的路径延迟约束,并且每块芯片所需的最大I/O块数较小。
{"title":"A performance-oriented circuit partitioning algorithm with logic-block replication for multi-FPGA systems","authors":"N. Togawa, M. Sato, T. Ohtsuki","doi":"10.1109/APCAS.1996.569274","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569274","url":null,"abstract":"This paper proposes a circuit partitioning algorithm in which the delay of each critical signal path is within a specified upper bound. Its core is recursive bipartitioning of a circuit which consists of three stages: (0) detection of critical paths; (1) bipartitioning of a set of primary inputs and outputs; and (2) bipartitioning of a set of logic-blocks. In (0), the algorithm detects the critical paths based on their lower bounds of delays. The delays of the critical paths are reduced with higher priority. In (1), the algorithm attempts to assign the primary input and output on each critical path to one chip. In (2), the algorithm not only decreases the number of crossings between chips but also assigns the logic blocks on each critical path to one chip by exploiting a network flow technique with logic-block replication. The experimental results demonstrate that it resolves almost all path delay constraints with the maximum number of required I/O blocks per chip small compared with conventional algorithms.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86584626","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
An effective method to find all solutions of piecewise-linear circuits by analyzing piecewise-linear equations step by step 通过逐步分析分段线性方程,提出了一种求分段线性电路所有解的有效方法
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569221
H. Mizutani
Recently, a lot of time has been required to analyze large VLSI transistor circuits and a faster algorithm was necessary. This paper describes a new system which obtains all solutions of a nonlinear circuit described by a piecewise linear function. It is expected that all solutions can be obtained in a practical time using the new method. In this paper, nonlinear circuits including Bipolar Junction Transistor (BJT) circuits are discussed, however, this method may be applied to any kind of nonlinear circuit described by a piecewise-linear function.
目前,分析大型VLSI晶体管电路需要大量的时间,并且需要更快的算法。本文描述了一个用分段线性函数描述的非线性电路的所有解的新系统。期望用新方法能在实际时间内得到所有的解。本文讨论了非线性电路,包括双极结晶体管(BJT)电路,然而,这种方法可以应用于任何由分段线性函数描述的非线性电路。
{"title":"An effective method to find all solutions of piecewise-linear circuits by analyzing piecewise-linear equations step by step","authors":"H. Mizutani","doi":"10.1109/APCAS.1996.569221","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569221","url":null,"abstract":"Recently, a lot of time has been required to analyze large VLSI transistor circuits and a faster algorithm was necessary. This paper describes a new system which obtains all solutions of a nonlinear circuit described by a piecewise linear function. It is expected that all solutions can be obtained in a practical time using the new method. In this paper, nonlinear circuits including Bipolar Junction Transistor (BJT) circuits are discussed, however, this method may be applied to any kind of nonlinear circuit described by a piecewise-linear function.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86629641","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Performance evaluation on 3-D object recognition using a restricted neural network 基于受限神经网络的三维目标识别性能评价
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569293
Y. Miyanaga, K. Motoyoshi, K. Tochinai
This report introduces a new approach on a recognition system of three dimensional, i.e. 3D, objects. The proposed system is based on a restricted multi-layered neural network. For the performance evaluation of this network, the experiment in which some similar objects are used for recognition is demonstrated.
本文介绍了一种三维物体识别系统的新方法。该系统基于一种受限的多层神经网络。为了对该网络的性能进行评估,给出了使用一些相似物体进行识别的实验。
{"title":"Performance evaluation on 3-D object recognition using a restricted neural network","authors":"Y. Miyanaga, K. Motoyoshi, K. Tochinai","doi":"10.1109/APCAS.1996.569293","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569293","url":null,"abstract":"This report introduces a new approach on a recognition system of three dimensional, i.e. 3D, objects. The proposed system is based on a restricted multi-layered neural network. For the performance evaluation of this network, the experiment in which some similar objects are used for recognition is demonstrated.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82194861","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
The roundoff noise analysis for block digital filters realized in cascade form 块数字滤波器的舍入噪声分析以级联形式实现
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569271
Uipil Chong, Soon-Jong Kim
The block processing algorithm is suitable for high speed implementation of digital filters on parallel processing systems. Roundoff noise is guided via the internal structure of the block filter using a state variable formulation. In this paper, we calculate and compare output roundoff noise gain for various block filter structures, which have not been investigated yet.
块处理算法适用于并行处理系统上数字滤波器的高速实现。舍入噪声通过使用状态变量公式的块滤波器的内部结构进行引导。在本文中,我们计算并比较了各种尚未研究的块滤波器结构的输出舍入噪声增益。
{"title":"The roundoff noise analysis for block digital filters realized in cascade form","authors":"Uipil Chong, Soon-Jong Kim","doi":"10.1109/APCAS.1996.569271","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569271","url":null,"abstract":"The block processing algorithm is suitable for high speed implementation of digital filters on parallel processing systems. Roundoff noise is guided via the internal structure of the block filter using a state variable formulation. In this paper, we calculate and compare output roundoff noise gain for various block filter structures, which have not been investigated yet.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73185740","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Design techniques for a PAL/NTSC mixed-signal video encoder with 66 dB SNR and 0.4% differential gain 66 dB信噪比和0.4%差分增益的PAL/NTSC混合信号视频编码器的设计技术
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569322
T. Cummins, J. Purcell
This paper describes the integration of ASIC and Mixed Signal design and simulation techniques in the design of a Mixed-Signal Digital Video Encoder chip which produces an analog output video signal. The result was fast time to market together with studio quality analog video output performance-66 dB typ SNR, 0.4% Differential Gain and 0.2/spl deg/ Differential Phase. A fully-automated design flow was used for the entire logic functionality of the chip in order to meet time-to-market requirements. Low die cost (compatible with hand-craft techniques) was achieved by aggressive use of CAD tools, process technology, and filter design techniques. Full functionality on first silicon was achieved by use of standard cell DACs, pads, Amplifier, and Band-Gap reference, and the use of a simulation test-bench which allowed frames of video to be simulated by the chip model, and the resultant simulation output to be captured and viewed on a video monitor. Some of the practical aspects of timing analysis and dynamic power estimation are also described.
本文介绍了将ASIC与混合信号设计与仿真技术相结合,设计出一种产生模拟输出视频信号的混合信号数字视频编码器芯片。结果是快速上市以及工作室质量的模拟视频输出性能-66 dB型信噪比,0.4%差分增益和0.2/spl度/差分相位。为了满足上市时间的要求,芯片的整个逻辑功能采用了全自动设计流程。低模具成本(与手工技术兼容)是通过积极使用CAD工具,工艺技术和过滤器设计技术实现的。通过使用标准单元dac、焊盘、放大器和带隙基准,以及使用模拟试验台,在第一块硅上实现了完整的功能,该试验台允许芯片模型模拟视频帧,并将所得模拟输出捕获并在视频监视器上查看。本文还介绍了时序分析和动态功率估计的一些实际应用。
{"title":"Design techniques for a PAL/NTSC mixed-signal video encoder with 66 dB SNR and 0.4% differential gain","authors":"T. Cummins, J. Purcell","doi":"10.1109/APCAS.1996.569322","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569322","url":null,"abstract":"This paper describes the integration of ASIC and Mixed Signal design and simulation techniques in the design of a Mixed-Signal Digital Video Encoder chip which produces an analog output video signal. The result was fast time to market together with studio quality analog video output performance-66 dB typ SNR, 0.4% Differential Gain and 0.2/spl deg/ Differential Phase. A fully-automated design flow was used for the entire logic functionality of the chip in order to meet time-to-market requirements. Low die cost (compatible with hand-craft techniques) was achieved by aggressive use of CAD tools, process technology, and filter design techniques. Full functionality on first silicon was achieved by use of standard cell DACs, pads, Amplifier, and Band-Gap reference, and the use of a simulation test-bench which allowed frames of video to be simulated by the chip model, and the resultant simulation output to be captured and viewed on a video monitor. Some of the practical aspects of timing analysis and dynamic power estimation are also described.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77521575","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A MOS analog mixer using a cross-coupled pair with source followers 一种MOS模拟混频器,使用带源跟随器的交叉耦合对
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569233
K. Mak, H. Luong
A low-voltage MOS analog mixer using a cross-coupled pair as the core is described. The mixing operation is based on the square-law characteristic of the MOS transistor in the saturation region. Both theory and simulation show that the mixing characteristic is superior and not sensitive to device mismatches. The proposed mixer has a -3 dB frequency of 135 MHz and consumes 2 mW power. The nonlinearities of the mixer are less than 0.33% and 0.43%, and the THD is about 0.32% and 0.51%, with 0% and 5% device mismatch, respectively.
介绍了一种以交叉耦合对为核心的低压MOS模拟混频器。混合操作是基于MOS晶体管在饱和区域的平方律特性。理论和仿真结果表明,该方法具有良好的混合特性,且对器件失配不敏感。所提出的混频器频率为- 3db,为135mhz,功耗为2mw。混合器的非线性小于0.33%和0.43%,THD约为0.32%和0.51%,设备失配率分别为0%和5%。
{"title":"A MOS analog mixer using a cross-coupled pair with source followers","authors":"K. Mak, H. Luong","doi":"10.1109/APCAS.1996.569233","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569233","url":null,"abstract":"A low-voltage MOS analog mixer using a cross-coupled pair as the core is described. The mixing operation is based on the square-law characteristic of the MOS transistor in the saturation region. Both theory and simulation show that the mixing characteristic is superior and not sensitive to device mismatches. The proposed mixer has a -3 dB frequency of 135 MHz and consumes 2 mW power. The nonlinearities of the mixer are less than 0.33% and 0.43%, and the THD is about 0.32% and 0.51%, with 0% and 5% device mismatch, respectively.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81042749","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Comparison between Wiener and CLS image restoration techniques for multichannel images 多通道图像的Wiener和CLS图像恢复技术比较
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569331
Jeongho Shin, J. Paik, M. Kang
Recently, multichannel image restoration gains population in many applications such as color imaging systems, image sequences, etc. In the present paper, we classify many multichannel restoration techniques as Wiener and CLS approaches, which are respectively based on stochastic and deterministic models of the original image. We also compare two approaches in the sense of both restoration performance and implementational efficiency.
近年来,多通道图像恢复技术在彩色成像系统、图像序列等领域得到了广泛的应用。在本文中,我们将许多多通道恢复技术分为Wiener方法和CLS方法,它们分别基于原始图像的随机模型和确定性模型。我们还比较了两种方法在恢复性能和实施效率的意义上。
{"title":"Comparison between Wiener and CLS image restoration techniques for multichannel images","authors":"Jeongho Shin, J. Paik, M. Kang","doi":"10.1109/APCAS.1996.569331","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569331","url":null,"abstract":"Recently, multichannel image restoration gains population in many applications such as color imaging systems, image sequences, etc. In the present paper, we classify many multichannel restoration techniques as Wiener and CLS approaches, which are respectively based on stochastic and deterministic models of the original image. We also compare two approaches in the sense of both restoration performance and implementational efficiency.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86524752","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Trellis-coded 16-QAM with base station antenna switching in slow Rayleigh fading channel 慢瑞利衰落信道下基站天线切换的栅格编码16-QAM
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569254
Y. Hahm
The existing coders used in the fading channels are designed under the assumption that the ideal interleaver, which removes the fading correlation of the channel, is used. With the non-ideal interleaver of finite size, however, the performance of the coded modulation system degrades rapidly when the fading is very slow. So author propose a scheme to get interleaving effects by switching base station antennas in a forward link, without additional software or hardware in small handset. We derive the theoretical upper bound on the pairwise error probability and do computer simulations for BER performance. The results show significant performance improvement in the slow fading and at least no deterioration in the fast fading over the existing systems.
现有用于衰落信道的编码器都是在假设使用理想的交织器来消除信道的衰落相关性的前提下设计的。然而,对于有限尺寸的非理想交织器,当衰落非常缓慢时,编码调制系统的性能会迅速下降。因此,作者提出了一种通过在前向链路中切换基站天线来获得交错效果的方案,而无需在小型手机中增加软件或硬件。我们推导了对向误差概率的理论上限,并对误码率性能进行了计算机模拟。结果表明,与现有系统相比,该系统在慢衰落下的性能有了显著提高,在快衰落下的性能至少没有下降。
{"title":"Trellis-coded 16-QAM with base station antenna switching in slow Rayleigh fading channel","authors":"Y. Hahm","doi":"10.1109/APCAS.1996.569254","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569254","url":null,"abstract":"The existing coders used in the fading channels are designed under the assumption that the ideal interleaver, which removes the fading correlation of the channel, is used. With the non-ideal interleaver of finite size, however, the performance of the coded modulation system degrades rapidly when the fading is very slow. So author propose a scheme to get interleaving effects by switching base station antennas in a forward link, without additional software or hardware in small handset. We derive the theoretical upper bound on the pairwise error probability and do computer simulations for BER performance. The results show significant performance improvement in the slow fading and at least no deterioration in the fast fading over the existing systems.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86607275","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A comparison of parallel multipliers with neuron MOS and CMOS technologies 并行乘法器与神经元MOS与CMOS技术之比较
Pub Date : 1996-11-18 DOI: 10.1109/APCAS.1996.569320
K. Hirose, H. Yasuura
We intend to obtain a fast and high-density logic circuit combining neuron MOS transistors (neuMOS), that was developed in Tohoku university, into a binary logic circuit. In this paper, we focus on basic arithmetic functional circuits, a full-adder and a multiplier, and make a comparison of the area and delay of the neuMOS circuits with conventional CMOS logic circuits. The results of physical design and SPICE simulation show that the area of a neuMOS multiplier with full-adders decreases to about 65% of the area of CMOS, and the delay of a neuMOS multiplier with (7,3) parallel counters decreases to about 70% of the delay of CMOS.
我们打算将日本东北大学开发的神经元MOS晶体管(neuMOS)结合成一种快速高密度的二进制逻辑电路。本文重点研究了基本的算术功能电路、全加法器和乘法器,并将其与传统CMOS逻辑电路的面积和时延进行了比较。物理设计和SPICE仿真结果表明,具有全加法器的neuMOS乘法器的面积减少到CMOS的65%左右,具有(7,3)个并行计数器的neuMOS乘法器的延迟减少到CMOS的70%左右。
{"title":"A comparison of parallel multipliers with neuron MOS and CMOS technologies","authors":"K. Hirose, H. Yasuura","doi":"10.1109/APCAS.1996.569320","DOIUrl":"https://doi.org/10.1109/APCAS.1996.569320","url":null,"abstract":"We intend to obtain a fast and high-density logic circuit combining neuron MOS transistors (neuMOS), that was developed in Tohoku university, into a binary logic circuit. In this paper, we focus on basic arithmetic functional circuits, a full-adder and a multiplier, and make a comparison of the area and delay of the neuMOS circuits with conventional CMOS logic circuits. The results of physical design and SPICE simulation show that the area of a neuMOS multiplier with full-adders decreases to about 65% of the area of CMOS, and the delay of a neuMOS multiplier with (7,3) parallel counters decreases to about 70% of the delay of CMOS.","PeriodicalId":20507,"journal":{"name":"Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84847467","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
期刊
Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1