首页 > 最新文献

2023 IEEE European Test Symposium (ETS)最新文献

英文 中文
Dependability of Future Edge-AI Processors: Pandora’s Box 未来边缘ai处理器的可靠性:潘多拉的盒子
Pub Date : 2023-05-22 DOI: 10.1109/ETS56758.2023.10174180
M. Gomony, A. Gebregiorgis, M. Fieback, M. Geilen, S. Stuijk, Jan Richter-Brockmann, R. Bishnoi, Sven Argo, Lara Arche Andradas, T. Güneysu, M. Taouil, H. Corporaal, S. Hamdioui
This paper addresses one of the directions of the HORIZON EU CONVOLVE project being dependability of smart edge processors based on computation-in-memory and emerging memristor devices such as RRAM. It discusses how how this alternative computing paradigm will change the way we used to do manufacturing test. In addition, it describes how these emerging devices inherently suffering from many non-idealities are calling for new solutions in order to ensure accurate and reliable edge computing. Moreover, the paper also covers the security aspects for future edge processors and shows the challenges and the future directions.
本文讨论了HORIZON EU CONVOLVE项目的一个方向,即基于内存计算和新兴存储器器件(如RRAM)的智能边缘处理器的可靠性。它讨论了这种替代计算范式将如何改变我们过去进行制造测试的方式。此外,它还描述了这些新兴设备如何固有地遭受许多非理想性的困扰,从而需要新的解决方案来确保精确和可靠的边缘计算。此外,本文还涵盖了未来边缘处理器的安全方面,并指出了挑战和未来的方向。
{"title":"Dependability of Future Edge-AI Processors: Pandora’s Box","authors":"M. Gomony, A. Gebregiorgis, M. Fieback, M. Geilen, S. Stuijk, Jan Richter-Brockmann, R. Bishnoi, Sven Argo, Lara Arche Andradas, T. Güneysu, M. Taouil, H. Corporaal, S. Hamdioui","doi":"10.1109/ETS56758.2023.10174180","DOIUrl":"https://doi.org/10.1109/ETS56758.2023.10174180","url":null,"abstract":"This paper addresses one of the directions of the HORIZON EU CONVOLVE project being dependability of smart edge processors based on computation-in-memory and emerging memristor devices such as RRAM. It discusses how how this alternative computing paradigm will change the way we used to do manufacturing test. In addition, it describes how these emerging devices inherently suffering from many non-idealities are calling for new solutions in order to ensure accurate and reliable edge computing. Moreover, the paper also covers the security aspects for future edge processors and shows the challenges and the future directions.","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123977471","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Synthesis of IJTAG Networks for Multi-Power Domain Systems on Chips 芯片上多功率域系统的IJTAG网络综合
Pub Date : 2023-05-22 DOI: 10.1109/ETS56758.2023.10174127
P. Habiby, N. Lylina, Chih-Hao Wang, H. Wunderlich, S. Huhn, R. Drechsler
The high-volume manufacturing test ensures the production of defect-free devices, which is of utmost importance when dealing with safety-critical systems. Such a high-quality test requires a deliberately designed scan network to provide a time and cost-effective access to many on-chip components, as included in state-of-the-art chip designs. The IEEE 1687 Std. (IJTAG) has been introduced to tackle this challenge by adding programmable components that enables the design of reconfigurable scan networks. Although these networks reduce the test time by shortening the scan chains’ lengths, the reconfiguration process itself incurs an additional time overhead. This paper proposes a heuristic method for designing customized multi-power domain reconfigurable scan networks with a minimized overall reconfiguration time. More precisely, the proposed method exploits a-priori given non-functional properties of the system, such as the power characteristics and the instruments’ access requirements. For the first time, these non-functional properties are considered to synthesize a well-adjusted and highly efficient multi-power domain network. The experimental results show a considerable improvement over the reported benchmark networks.
大批量生产测试可确保生产出无缺陷的设备,这在处理安全关键系统时至关重要。如此高质量的测试需要精心设计的扫描网络,以提供时间和成本效益的访问许多片上组件,包括在最先进的芯片设计中。IEEE 1687标准(IJTAG)通过添加可编程组件来实现可重构扫描网络的设计,从而解决了这一挑战。尽管这些网络通过缩短扫描链的长度来减少测试时间,但重新配置过程本身会产生额外的时间开销。本文提出了一种启发式方法,用于设计具有最小总体重构时间的自定义多功率域重构扫描网络。更准确地说,所提出的方法利用了先验给定的系统非功能特性,如功率特性和仪器的接入要求。这是第一次考虑这些非功能特性来合成一个调节良好、高效的多功率域网络。实验结果表明,与已有的基准网络相比,该方法有了很大的改进。
{"title":"Synthesis of IJTAG Networks for Multi-Power Domain Systems on Chips","authors":"P. Habiby, N. Lylina, Chih-Hao Wang, H. Wunderlich, S. Huhn, R. Drechsler","doi":"10.1109/ETS56758.2023.10174127","DOIUrl":"https://doi.org/10.1109/ETS56758.2023.10174127","url":null,"abstract":"The high-volume manufacturing test ensures the production of defect-free devices, which is of utmost importance when dealing with safety-critical systems. Such a high-quality test requires a deliberately designed scan network to provide a time and cost-effective access to many on-chip components, as included in state-of-the-art chip designs. The IEEE 1687 Std. (IJTAG) has been introduced to tackle this challenge by adding programmable components that enables the design of reconfigurable scan networks. Although these networks reduce the test time by shortening the scan chains’ lengths, the reconfiguration process itself incurs an additional time overhead. This paper proposes a heuristic method for designing customized multi-power domain reconfigurable scan networks with a minimized overall reconfiguration time. More precisely, the proposed method exploits a-priori given non-functional properties of the system, such as the power characteristics and the instruments’ access requirements. For the first time, these non-functional properties are considered to synthesize a well-adjusted and highly efficient multi-power domain network. The experimental results show a considerable improvement over the reported benchmark networks.","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121833958","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Secrets Leaking Through Quicksand: Covert Channels in Approximate Computing 通过流沙泄漏的秘密:近似计算中的隐蔽通道
Pub Date : 2023-05-22 DOI: 10.1109/ETS56758.2023.10174181
Lorenzo Masciullo, R. Passerone, F. Regazzoni, I. Polian
Approximate computing (AxC) has emerged as an attractive architectural paradigm especially for artificial-intelligence applications, yet its security implications are being neglected. We demonstrate a novel covert channel where the malicious sender modulates transmission by switching between regular and AxC realizations of the same computational task. The malicious receiver identifies the transmitted information by either reading out the workload statistics or by creating controlled congestion. We demonstrate the channel on both an Android simulator and an actual smartphone and systematically study measures to increase its robustness. The achievable transmission rates are comparable with earlier covert channels based on power consumption, but the malicious behavior of our channel is more stealthy and less detectable.
近似计算(AxC)已经成为一种有吸引力的架构范例,特别是在人工智能应用中,然而它的安全含义却被忽视了。我们展示了一种新的隐蔽信道,其中恶意发送方通过在相同计算任务的常规实现和AxC实现之间切换来调制传输。恶意接收方通过读出工作负载统计信息或创建受控拥塞来识别传输的信息。我们在Android模拟器和实际的智能手机上演示了该通道,并系统地研究了增加其鲁棒性的措施。可实现的传输速率与早期基于功耗的隐蔽信道相当,但我们的信道的恶意行为更隐蔽,更不易被检测到。
{"title":"Secrets Leaking Through Quicksand: Covert Channels in Approximate Computing","authors":"Lorenzo Masciullo, R. Passerone, F. Regazzoni, I. Polian","doi":"10.1109/ETS56758.2023.10174181","DOIUrl":"https://doi.org/10.1109/ETS56758.2023.10174181","url":null,"abstract":"Approximate computing (AxC) has emerged as an attractive architectural paradigm especially for artificial-intelligence applications, yet its security implications are being neglected. We demonstrate a novel covert channel where the malicious sender modulates transmission by switching between regular and AxC realizations of the same computational task. The malicious receiver identifies the transmitted information by either reading out the workload statistics or by creating controlled congestion. We demonstrate the channel on both an Android simulator and an actual smartphone and systematically study measures to increase its robustness. The achievable transmission rates are comparable with earlier covert channels based on power consumption, but the malicious behavior of our channel is more stealthy and less detectable.","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124673550","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Increasing SAT-Resilience of Logic Locking Mechanisms using Formal Methods 使用形式化方法增加逻辑锁定机制的sat弹性
Pub Date : 2023-05-22 DOI: 10.1109/ETS56758.2023.10173975
M. Merten, S. Huhn, R. Drechsler
Today, Integrated Circuits (ICs) manufactoring is distributed over various foundries, resulting in untrustworthy supply chains. Therefore, significant concerns about malicious intentions like intellectual property piracy of the fabricated ICs exist. Logic Locking (LL) is one well-known protection technique to improve the security of ICs. However, there are approaches to unlocking the circuit, like the SAT-based attack. Significant research has been done on thwarting the SAT-based attack by providing SAT-resilient LL. Nevertheless, these SAT-resilient LL approaches have an inherent structural footprint, yielding a high vulnerability to structural attacks. Recently, Polymorphic Logic Gates (PLGs) have been utilized to implement logic obfuscation by replacing gates. Reconfigurable Field Effect Transistors (RFETs) are a new emerging technology for implementing such PLGs due to their inherent camouflaging properties. This work proposes a novel technique for increasing SAT-resilience while introducing no structural weakness using those PLGs. In particular, based on the concept of an SAT-based attack, a procedure for determining the most SAT-resilient placement of LL-cells is developed. The experimental evaluation proves that the proposed hardening of the placement increases the SAT-resilience compared to a random placement while providing inherent camouflaging of RFET-cells.
今天,集成电路(ic)制造分布在不同的代工厂,导致不可靠的供应链。因此,存在对伪造ic的知识产权盗版等恶意意图的重大担忧。逻辑锁定(LL)是一种众所周知的提高集成电路安全性的保护技术。然而,有一些方法可以解锁电路,比如基于sat的攻击。通过提供具有sat弹性的LL来阻止基于sat的攻击已经进行了重要的研究。然而,这些具有sat弹性的LL方法具有固有的结构足迹,因此极易受到结构攻击。近年来,多态逻辑门(PLGs)被用来代替门来实现逻辑混淆。可重构场效应晶体管(rfet)由于其固有的伪装特性,是一种用于实现这种plg的新兴技术。这项工作提出了一种新的技术,可以增加sat弹性,同时使用这些plg不会引入结构弱点。特别是,基于基于sat攻击的概念,开发了确定ll -cell最具sat弹性放置的程序。实验评估证明,与随机放置相比,提出的硬化放置增加了sat弹性,同时提供了rfet细胞的固有伪装。
{"title":"Increasing SAT-Resilience of Logic Locking Mechanisms using Formal Methods","authors":"M. Merten, S. Huhn, R. Drechsler","doi":"10.1109/ETS56758.2023.10173975","DOIUrl":"https://doi.org/10.1109/ETS56758.2023.10173975","url":null,"abstract":"Today, Integrated Circuits (ICs) manufactoring is distributed over various foundries, resulting in untrustworthy supply chains. Therefore, significant concerns about malicious intentions like intellectual property piracy of the fabricated ICs exist. Logic Locking (LL) is one well-known protection technique to improve the security of ICs. However, there are approaches to unlocking the circuit, like the SAT-based attack. Significant research has been done on thwarting the SAT-based attack by providing SAT-resilient LL. Nevertheless, these SAT-resilient LL approaches have an inherent structural footprint, yielding a high vulnerability to structural attacks. Recently, Polymorphic Logic Gates (PLGs) have been utilized to implement logic obfuscation by replacing gates. Reconfigurable Field Effect Transistors (RFETs) are a new emerging technology for implementing such PLGs due to their inherent camouflaging properties. This work proposes a novel technique for increasing SAT-resilience while introducing no structural weakness using those PLGs. In particular, based on the concept of an SAT-based attack, a procedure for determining the most SAT-resilient placement of LL-cells is developed. The experimental evaluation proves that the proposed hardening of the placement increases the SAT-resilience compared to a random placement while providing inherent camouflaging of RFET-cells.","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131960854","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Attacking Memristor-Mapped Graph Neural Network by Inducing Slow-to-Write Errors 通过诱导慢写错误攻击忆阻器映射图神经网络
Pub Date : 2023-05-22 DOI: 10.1109/ETS56758.2023.10174062
Ching-Yuan Chen, Biresh Kumar Joardar, J. Doppa, P. Pande, K. Chakrabarty
Graph neural networks (GNNs) are becoming popular in various real-world applications. However, hardware-level security is a concern when GNN models are mapped to emerging neuromorphic technologies such as memristor-based crossbars. These security issues can lead to malfunction of memristor-mapped GNNs. We identify a vulnerability of memristor-mapped GNNs and propose an attack mechanism based on the identified vulnerability. The proposed attack tampers memristor-mapped graph-structured data of a GNN by injecting adversarial edges to the graph and inducing slow-to-write errors in crossbars. We show that 10% adversarial edge injection induces 1.11× longer write latency, eventually leading to a 44.33% error in node classification. Experimental results for the proposed attack also show that there is a 5.72× increase in the success rate compared to a software-based baseline.
图神经网络(gnn)在各种实际应用中越来越受欢迎。然而,当GNN模型被映射到新兴的神经形态技术(如基于记忆电阻器的交叉杆)时,硬件级安全性是一个问题。这些安全问题可能导致忆阻器映射gnn的故障。我们识别了一个忆阻器映射gnn的漏洞,并提出了基于该漏洞的攻击机制。所提出的攻击通过向图中注入对抗边并在横条中诱导慢写错误来篡改GNN的记忆器映射图结构数据。我们发现,10%的敌对边缘注入会导致1.11倍的写延迟,最终导致节点分类误差为44.33%。实验结果还表明,与基于软件的基线相比,该攻击的成功率提高了5.72倍。
{"title":"Attacking Memristor-Mapped Graph Neural Network by Inducing Slow-to-Write Errors","authors":"Ching-Yuan Chen, Biresh Kumar Joardar, J. Doppa, P. Pande, K. Chakrabarty","doi":"10.1109/ETS56758.2023.10174062","DOIUrl":"https://doi.org/10.1109/ETS56758.2023.10174062","url":null,"abstract":"Graph neural networks (GNNs) are becoming popular in various real-world applications. However, hardware-level security is a concern when GNN models are mapped to emerging neuromorphic technologies such as memristor-based crossbars. These security issues can lead to malfunction of memristor-mapped GNNs. We identify a vulnerability of memristor-mapped GNNs and propose an attack mechanism based on the identified vulnerability. The proposed attack tampers memristor-mapped graph-structured data of a GNN by injecting adversarial edges to the graph and inducing slow-to-write errors in crossbars. We show that 10% adversarial edge injection induces 1.11× longer write latency, eventually leading to a 44.33% error in node classification. Experimental results for the proposed attack also show that there is a 5.72× increase in the success rate compared to a software-based baseline.","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133602417","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Survey of Recent Developments in Testability, Safety and Security of RISC-V Processors RISC-V处理器的可测试性、安全性和保密性研究进展综述
Pub Date : 2023-05-22 DOI: 10.1109/ETS56758.2023.10174099
J. Anders, Pablo Andreu, B. Becker, S. Becker, R. Cantoro, N. I. Deligiannis, N. Elhamawy, Tobias Faller, Carles Hernández, N. Mentens, Mahnaz Namazi Rizi, I. Polian, Abolfazl Sajadi, Mathias Sauer, Denis Schwachhofer, M. Reorda, T. Stefanov, I. Tuzov, S. Wagner, N. Zidarič
With the continued success of the open RISC-V architecture, practical deployment of RISC-V processors necessitates an in-depth consideration of their testability, safety and security aspects. This survey provides an overview of recent developments in this quickly-evolving field. We start with discussing the application of state-of-the-art functional and system-level test solutions to RISC-V processors. Then, we discuss the use of RISC-V processors for safety-related applications; to this end, we outline the essential techniques necessary to obtain safety both in the functional and in the timing domain and review recent processor designs with safety features. Finally, we survey the different aspects of security with respect to RISC-V implementations and discuss the relationship between cryptographic protocols and primitives on the one hand and the RISC-V processor architecture and hardware implementation on the other. We also comment on the role of a RISC-V processor for system security and its resilience against side-channel attacks.
随着开放式RISC-V架构的持续成功,RISC-V处理器的实际部署需要深入考虑其可测试性、安全性和安全性方面的问题。这个调查概述了这个快速发展的领域的最新发展。我们首先讨论最先进的功能和系统级测试解决方案在RISC-V处理器中的应用。然后,我们讨论了RISC-V处理器在安全相关应用中的使用;为此,我们概述了在功能和时序领域获得安全性所必需的基本技术,并回顾了最近具有安全特性的处理器设计。最后,我们调查了与RISC-V实现相关的安全性的不同方面,并讨论了加密协议和原语与RISC-V处理器架构和硬件实现之间的关系。我们还评论了RISC-V处理器对系统安全性的作用及其对侧信道攻击的弹性。
{"title":"A Survey of Recent Developments in Testability, Safety and Security of RISC-V Processors","authors":"J. Anders, Pablo Andreu, B. Becker, S. Becker, R. Cantoro, N. I. Deligiannis, N. Elhamawy, Tobias Faller, Carles Hernández, N. Mentens, Mahnaz Namazi Rizi, I. Polian, Abolfazl Sajadi, Mathias Sauer, Denis Schwachhofer, M. Reorda, T. Stefanov, I. Tuzov, S. Wagner, N. Zidarič","doi":"10.1109/ETS56758.2023.10174099","DOIUrl":"https://doi.org/10.1109/ETS56758.2023.10174099","url":null,"abstract":"With the continued success of the open RISC-V architecture, practical deployment of RISC-V processors necessitates an in-depth consideration of their testability, safety and security aspects. This survey provides an overview of recent developments in this quickly-evolving field. We start with discussing the application of state-of-the-art functional and system-level test solutions to RISC-V processors. Then, we discuss the use of RISC-V processors for safety-related applications; to this end, we outline the essential techniques necessary to obtain safety both in the functional and in the timing domain and review recent processor designs with safety features. Finally, we survey the different aspects of security with respect to RISC-V implementations and discuss the relationship between cryptographic protocols and primitives on the one hand and the RISC-V processor architecture and hardware implementation on the other. We also comment on the role of a RISC-V processor for system security and its resilience against side-channel attacks.","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130547611","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Silicon Lifecycle Redefines Design for Test 硅生命周期重新定义了为测试而设计
Pub Date : 2023-05-22 DOI: 10.1109/ets56758.2023.10174027
{"title":"Silicon Lifecycle Redefines Design for Test","authors":"","doi":"10.1109/ets56758.2023.10174027","DOIUrl":"https://doi.org/10.1109/ets56758.2023.10174027","url":null,"abstract":"","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125730042","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
SCI-FI: a Smart, aCcurate and unIntrusive Fault-Injector for Deep Neural Networks 科幻:用于深度神经网络的智能、准确和非侵入式故障注入器
Pub Date : 2023-05-22 DOI: 10.1109/ETS56758.2023.10173957
G. Gavarini, A. Ruospo, Ernesto Sánchez
In recent years, the reliability of Deep Neural Networks (DNN) has become the focus of an increasing number of research activities. In particular, researchers have focused on understanding how a DNN behaves when the underlying hardware is affected by a fault. This is a challenging task: slight changes in a network architecture can significantly impact how the network reacts to faults. There are several approaches to simulate the behaviour of a faulty network: the most accurate one is to perform low-level fault simulations. Nonetheless, this task is very time-consuming and costly to be implemented. Even though the injection time can be reduced by injecting faults at the application level, for sufficiently large networks, this time is still very high, requiring weeks to complete a single simulation. This work aims at providing a fast and accurate solution for injecting software-level faults in a DNN that is independent of its architecture and does not require any modification to its structure. For this reason, this paper introduces SCI-FI, a Smart, aCcurate and unIntrusive Fault-Injector. SCI-FI smartly reduces the fault injection time required for a complete fault simulation of the network by taking advantage of two fundamental mechanisms: Fault Dropping and Delayed Start. Experimental results from various ResNet, DenseNet and EfficientNet architectures targeting the CIFAR-10 and ImageNet datasets show that combining these techniques drastically reduces the simulation time, which can last up to 70% less.
近年来,深度神经网络(Deep Neural network, DNN)的可靠性已成为越来越多研究活动的焦点。特别是,研究人员专注于理解深层神经网络在底层硬件受到故障影响时的行为。这是一项具有挑战性的任务:网络架构的微小变化会显著影响网络对故障的反应。有几种方法可以模拟故障网络的行为:最准确的方法是执行低级故障模拟。尽管如此,这项任务的实现非常耗时和昂贵。尽管注入时间可以通过在应用程序级别注入错误来减少,但对于足够大的网络,这个时间仍然非常高,需要数周才能完成一次模拟。本工作旨在为在DNN中注入软件级故障提供一种快速、准确的解决方案,该解决方案独立于其架构,不需要对其结构进行任何修改。为此,本文介绍了一种智能、精确、无干扰的故障注入器——科幻。科幻通过利用两种基本机制:故障丢弃和延迟启动,巧妙地减少了网络完整故障模拟所需的故障注入时间。针对CIFAR-10和ImageNet数据集的各种ResNet、DenseNet和EfficientNet架构的实验结果表明,结合这些技术可以大大减少模拟时间,最长可减少70%。
{"title":"SCI-FI: a Smart, aCcurate and unIntrusive Fault-Injector for Deep Neural Networks","authors":"G. Gavarini, A. Ruospo, Ernesto Sánchez","doi":"10.1109/ETS56758.2023.10173957","DOIUrl":"https://doi.org/10.1109/ETS56758.2023.10173957","url":null,"abstract":"In recent years, the reliability of Deep Neural Networks (DNN) has become the focus of an increasing number of research activities. In particular, researchers have focused on understanding how a DNN behaves when the underlying hardware is affected by a fault. This is a challenging task: slight changes in a network architecture can significantly impact how the network reacts to faults. There are several approaches to simulate the behaviour of a faulty network: the most accurate one is to perform low-level fault simulations. Nonetheless, this task is very time-consuming and costly to be implemented. Even though the injection time can be reduced by injecting faults at the application level, for sufficiently large networks, this time is still very high, requiring weeks to complete a single simulation. This work aims at providing a fast and accurate solution for injecting software-level faults in a DNN that is independent of its architecture and does not require any modification to its structure. For this reason, this paper introduces SCI-FI, a Smart, aCcurate and unIntrusive Fault-Injector. SCI-FI smartly reduces the fault injection time required for a complete fault simulation of the network by taking advantage of two fundamental mechanisms: Fault Dropping and Delayed Start. Experimental results from various ResNet, DenseNet and EfficientNet architectures targeting the CIFAR-10 and ImageNet datasets show that combining these techniques drastically reduces the simulation time, which can last up to 70% less.","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130381126","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
ETS 2023 Distinguished Service Award ETS 2023杰出服务奖
Pub Date : 2023-05-22 DOI: 10.1109/ets56758.2023.10174184
{"title":"ETS 2023 Distinguished Service Award","authors":"","doi":"10.1109/ets56758.2023.10174184","DOIUrl":"https://doi.org/10.1109/ets56758.2023.10174184","url":null,"abstract":"","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129913216","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Mismatch Measurement for MIMO mm-Wave Radars via Simple Power Monitors 基于简单功率监视器的MIMO毫米波雷达失配测量
Pub Date : 2023-05-22 DOI: 10.1109/ETS56758.2023.10173976
Ferhat Can Ataman, Mohammad Aladsani, G. Trichopoulos, Chethan Kumar Y.B., S. Ozev
Hardware imperfections and environmental factors create mismatches between transmit and receive paths. In MIMO mm-Wave radars, determining and eliminating gain and phase mismatches are required to increase the overall accuracy of range and angle of arrival (AoA) estimation. Measurement of mismatches, particularly phase mismatch, requires complex test setups and external equipment, such as a network analyzer. This paper proposes an on-chip (or on-board) measurement method for mm-Wave radars to determine the mismatches using RF power detectors. The proposed method relies on mutual coupling between transmitter and receiver antennas. A detailed mathematical analysis of the proposed method along with boundary conditions is presented. Simulations and hardware measurements using a cascaded mm-Wave radar device shows that the proposed phase mismatch extraction technique provides very accurate results within defined boundary conditions.
硬件缺陷和环境因素造成发送和接收路径之间的不匹配。在MIMO毫米波雷达中,需要确定和消除增益和相位失配,以提高距离和到达角(AoA)估计的整体精度。不匹配的测量,特别是相位不匹配,需要复杂的测试设置和外部设备,如网络分析仪。本文提出了一种毫米波雷达片上(或板上)测量方法,利用射频功率检测器来确定不匹配。该方法依赖于发射天线和接收天线之间的相互耦合。对该方法进行了详细的数学分析,并给出了边界条件。使用级联毫米波雷达设备进行的仿真和硬件测量表明,所提出的相位失配提取技术在规定的边界条件下提供了非常精确的结果。
{"title":"Mismatch Measurement for MIMO mm-Wave Radars via Simple Power Monitors","authors":"Ferhat Can Ataman, Mohammad Aladsani, G. Trichopoulos, Chethan Kumar Y.B., S. Ozev","doi":"10.1109/ETS56758.2023.10173976","DOIUrl":"https://doi.org/10.1109/ETS56758.2023.10173976","url":null,"abstract":"Hardware imperfections and environmental factors create mismatches between transmit and receive paths. In MIMO mm-Wave radars, determining and eliminating gain and phase mismatches are required to increase the overall accuracy of range and angle of arrival (AoA) estimation. Measurement of mismatches, particularly phase mismatch, requires complex test setups and external equipment, such as a network analyzer. This paper proposes an on-chip (or on-board) measurement method for mm-Wave radars to determine the mismatches using RF power detectors. The proposed method relies on mutual coupling between transmitter and receiver antennas. A detailed mathematical analysis of the proposed method along with boundary conditions is presented. Simulations and hardware measurements using a cascaded mm-Wave radar device shows that the proposed phase mismatch extraction technique provides very accurate results within defined boundary conditions.","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115250550","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
2023 IEEE European Test Symposium (ETS)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1