首页 > 最新文献

2023 IEEE European Test Symposium (ETS)最新文献

英文 中文
Stimuli Generation for IC Design Verification using Reinforcement Learning with an Actor-Critic Model 基于Actor-Critic模型的强化学习的IC设计验证刺激生成
Pub Date : 2023-05-22 DOI: 10.1109/ETS56758.2023.10174129
S. L. Tweehuysen, G. Adriaans, M. Gomony
With Integrated Circuit (IC) designs becoming larger and more complex, there is a growing risk of errors in the Register-Transfer Layer (RTL) implementation. Stimuli generation to achieve high coverage in functional verification is paramount for finding these errors and preventing them from ending up in the final design. Several custom methods have been proposed for stimuli generation to reduce functional testing duration of RTL designs, while more flexible or generic methods could reduce verification time significantly by supporting larger range of RTL designs. This paper proposes a novel flexible stimuli generation technique by using reinforcement learning with an Actor-Critic model. Our benchmarking results showed that the proposed method achieves a higher coverage than baseline solution for a diverse range of RTL designs, making it a valuable addition to test automation tool-flow.
随着集成电路(IC)设计变得越来越大,越来越复杂,在寄存器传输层(RTL)实现中出现错误的风险越来越大。在功能验证中实现高覆盖率的刺激生成对于发现这些错误并防止它们在最终设计中结束是至关重要的。为了减少RTL设计的功能测试时间,已经提出了几种定制的刺激生成方法,而更灵活或通用的方法可以通过支持更大范围的RTL设计来显着减少验证时间。本文提出了一种基于Actor-Critic模型的强化学习柔性刺激生成技术。我们的基准测试结果表明,对于不同范围的RTL设计,所提出的方法实现了比基线解决方案更高的覆盖率,使其成为测试自动化工具流的有价值的补充。
{"title":"Stimuli Generation for IC Design Verification using Reinforcement Learning with an Actor-Critic Model","authors":"S. L. Tweehuysen, G. Adriaans, M. Gomony","doi":"10.1109/ETS56758.2023.10174129","DOIUrl":"https://doi.org/10.1109/ETS56758.2023.10174129","url":null,"abstract":"With Integrated Circuit (IC) designs becoming larger and more complex, there is a growing risk of errors in the Register-Transfer Layer (RTL) implementation. Stimuli generation to achieve high coverage in functional verification is paramount for finding these errors and preventing them from ending up in the final design. Several custom methods have been proposed for stimuli generation to reduce functional testing duration of RTL designs, while more flexible or generic methods could reduce verification time significantly by supporting larger range of RTL designs. This paper proposes a novel flexible stimuli generation technique by using reinforcement learning with an Actor-Critic model. Our benchmarking results showed that the proposed method achieves a higher coverage than baseline solution for a diverse range of RTL designs, making it a valuable addition to test automation tool-flow.","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131003405","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
ETS 2023 Blank Page ETS 2023空白页
Pub Date : 2023-05-22 DOI: 10.1109/ets56758.2023.10174019
{"title":"ETS 2023 Blank Page","authors":"","doi":"10.1109/ets56758.2023.10174019","DOIUrl":"https://doi.org/10.1109/ets56758.2023.10174019","url":null,"abstract":"","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116611804","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
ETS 2023 Steering and Program Committees ETS 2023指导和项目委员会
Pub Date : 2023-05-22 DOI: 10.1109/ets56758.2023.10174193
{"title":"ETS 2023 Steering and Program Committees","authors":"","doi":"10.1109/ets56758.2023.10174193","DOIUrl":"https://doi.org/10.1109/ets56758.2023.10174193","url":null,"abstract":"","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116826656","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Resilience Framework for Synapse Weight Errors and Firing Threshold Perturbations in RRAM Spiking Neural Networks RRAM脉冲神经网络中突触权重误差和触发阈值扰动的弹性框架
Pub Date : 2023-05-22 DOI: 10.1109/ETS56758.2023.10174229
Anurup Saha, C. Amarnath, A. Chatterjee
Spiking Neural Networks (SNNs) can be implemented with power-efficient digital as well as analog circuitry. However, in Resistive RAM (RRAM) based SNN accelerators, synapse weights programmed into the crossbar can differ from their ideal values due to defects and programming errors, degrading inference accuracy. In addition, circuit nonidealities within analog spiking neurons that alter the neuron spiking rate (modeled by variations in neuron firing threshold) can degrade SNN inference accuracy when the value of inference time steps (ITSteps) of SNN is set to a critical minimum that maximizes network throughput. We first develop a recursive linearized check to detect synapse weight errors with high sensitivity. This triggers a correction methodology which sets out-of-range synapse values to zero. For correcting the effects of firing threshold variations, we develop a test methodology that calibrates the extent of such variations. This is then used to proportionally increase inference time steps during inference for chips with higher variation. Experiments on a variety of SNNs prove the viability of the proposed resilience methods.
尖峰神经网络(snn)可以通过高能效的数字电路和模拟电路实现。然而,在基于电阻性RAM (RRAM)的SNN加速器中,由于缺陷和编程错误,编程到交叉杆中的突触权重可能与理想值不同,从而降低了推理精度。此外,当SNN的推理时间步长(ITSteps)设置为最大化网络吞吐量的最小值时,模拟尖峰神经元内改变神经元尖峰率(通过神经元放电阈值的变化建模)的电路非理想性会降低SNN推理精度。我们首先开发了一种递归线性化检查,以高灵敏度检测突触权重误差。这将触发一种校正方法,将超出范围的突触值设置为零。为了纠正射击阈值变化的影响,我们开发了一种测试方法来校准这种变化的程度。然后,这被用来按比例增加推理时间步长,在推理芯片具有较高的变化。在各种snn上的实验证明了所提出的弹性方法的可行性。
{"title":"A Resilience Framework for Synapse Weight Errors and Firing Threshold Perturbations in RRAM Spiking Neural Networks","authors":"Anurup Saha, C. Amarnath, A. Chatterjee","doi":"10.1109/ETS56758.2023.10174229","DOIUrl":"https://doi.org/10.1109/ETS56758.2023.10174229","url":null,"abstract":"Spiking Neural Networks (SNNs) can be implemented with power-efficient digital as well as analog circuitry. However, in Resistive RAM (RRAM) based SNN accelerators, synapse weights programmed into the crossbar can differ from their ideal values due to defects and programming errors, degrading inference accuracy. In addition, circuit nonidealities within analog spiking neurons that alter the neuron spiking rate (modeled by variations in neuron firing threshold) can degrade SNN inference accuracy when the value of inference time steps (ITSteps) of SNN is set to a critical minimum that maximizes network throughput. We first develop a recursive linearized check to detect synapse weight errors with high sensitivity. This triggers a correction methodology which sets out-of-range synapse values to zero. For correcting the effects of firing threshold variations, we develop a test methodology that calibrates the extent of such variations. This is then used to proportionally increase inference time steps during inference for chips with higher variation. Experiments on a variety of SNNs prove the viability of the proposed resilience methods.","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115231886","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
PULP Fiction No More—Dependable PULP Systems for Space 没有更可靠的太空纸浆系统了
Pub Date : 2023-05-22 DOI: 10.1109/ETS56758.2023.10174164
Markus Ulbricht, Yvan Tortorella, Michael Rogenmoser, Li Lu, Junchao Chen, Francesco Conti, M. Krstic, L. Benini
Due to their flexibility and openness, the RISC-V ISA and processor architectures have emerged as notable contenders in various application domains. Their advantages over commercial solutions have attracted the interest of academia and industry and even led to their planned adoption in aeronautics and space. However, in these demanding environments, system reliability is of paramount importance. To address this issue, this paper presents an overview of several hardware-centric approaches for developing reliable systems based on the parallel-ultra low power (PULP) open-source RISC-V hardware platform. These approaches range from gate-level optimizations to system-level improvements and highlight the versatility of the PULP architecture and its potential as a viable architecture for developing various aerospace platforms.
由于其灵活性和开放性,RISC-V ISA和处理器架构已成为各种应用领域的重要竞争者。它们相对于商业解决方案的优势吸引了学术界和工业界的兴趣,甚至导致了它们在航空航天领域的计划采用。然而,在这些苛刻的环境中,系统可靠性是至关重要的。为了解决这个问题,本文概述了几种基于并行超低功耗(PULP)开源RISC-V硬件平台开发可靠系统的以硬件为中心的方法。这些方法的范围从门级优化到系统级改进,突出了PULP体系结构的多功能性及其作为开发各种航空航天平台的可行体系结构的潜力。
{"title":"PULP Fiction No More—Dependable PULP Systems for Space","authors":"Markus Ulbricht, Yvan Tortorella, Michael Rogenmoser, Li Lu, Junchao Chen, Francesco Conti, M. Krstic, L. Benini","doi":"10.1109/ETS56758.2023.10174164","DOIUrl":"https://doi.org/10.1109/ETS56758.2023.10174164","url":null,"abstract":"Due to their flexibility and openness, the RISC-V ISA and processor architectures have emerged as notable contenders in various application domains. Their advantages over commercial solutions have attracted the interest of academia and industry and even led to their planned adoption in aeronautics and space. However, in these demanding environments, system reliability is of paramount importance. To address this issue, this paper presents an overview of several hardware-centric approaches for developing reliable systems based on the parallel-ultra low power (PULP) open-source RISC-V hardware platform. These approaches range from gate-level optimizations to system-level improvements and highlight the versatility of the PULP architecture and its potential as a viable architecture for developing various aerospace platforms.","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129724180","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
ETS23 Sponsors and Organizers ETS23赞助商和组织者
Pub Date : 2023-05-22 DOI: 10.1109/ets56758.2023.10173956
{"title":"ETS23 Sponsors and Organizers","authors":"","doi":"10.1109/ets56758.2023.10173956","DOIUrl":"https://doi.org/10.1109/ets56758.2023.10173956","url":null,"abstract":"","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120983405","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Single-Event Latchup setup for high-precision AMS circuits 用于高精度AMS电路的单事件锁定装置
Pub Date : 2023-05-22 DOI: 10.1109/ETS56758.2023.10174024
G. Léger, A. Ginés, E. Peralías, Valentín Gutiérrez, C. Dominguez, M. A. Jalón, L. Carranza
One of the most critical radiation effects, because it is potentially destructive, is the Single-Event Latchup (SEL). Positive feedback in parasitic bipolar structures, triggered by a current pulse induced by an ionizing particle, creates a low impedance path between supply and ground. If the supply is not rapidly shut down, high currents can cause burnout or metal opens. Any radiation campaign must thus implement some protection at the board level to properly detect the onset of a latchup and shut the circuit power down. This paper describes an SEL detection platform, designed for a 13b 40Msps ADC prototype, that takes into account the specific requirements of high-precision Analog and Mixed-Signal circuits.
最关键的辐射效应之一是单事件闭锁(SEL),因为它具有潜在的破坏性。寄生双极结构中的正反馈由电离粒子引起的电流脉冲触发,在电源和地之间产生低阻抗路径。如果不迅速关闭电源,大电流可能导致烧坏或金属开路。因此,任何辐射运动都必须在电路板层面实施一些保护措施,以正确地检测闭锁的开始并关闭电路电源。本文介绍了一种针对13b 40Msps ADC原型设计的SEL检测平台,该平台考虑了高精度模拟和混合信号电路的特殊要求。
{"title":"A Single-Event Latchup setup for high-precision AMS circuits","authors":"G. Léger, A. Ginés, E. Peralías, Valentín Gutiérrez, C. Dominguez, M. A. Jalón, L. Carranza","doi":"10.1109/ETS56758.2023.10174024","DOIUrl":"https://doi.org/10.1109/ETS56758.2023.10174024","url":null,"abstract":"One of the most critical radiation effects, because it is potentially destructive, is the Single-Event Latchup (SEL). Positive feedback in parasitic bipolar structures, triggered by a current pulse induced by an ionizing particle, creates a low impedance path between supply and ground. If the supply is not rapidly shut down, high currents can cause burnout or metal opens. Any radiation campaign must thus implement some protection at the board level to properly detect the onset of a latchup and shut the circuit power down. This paper describes an SEL detection platform, designed for a 13b 40Msps ADC prototype, that takes into account the specific requirements of high-precision Analog and Mixed-Signal circuits.","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126013846","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
DEV-PIM: Dynamic Execution Validation with Processing-in-Memory DEV-PIM:内存处理的动态执行验证
Pub Date : 2023-05-22 DOI: 10.1109/ETS56758.2023.10174063
Alper Bolat, Yahya Can Tugrul, Seyyid Hikmet Çelik, S. Sezer, M. Ottavi, O. Ergin
Instruction injections or soft errors during execution on the CPU can cause serious system vulnerabilities. During the standard program flow of the processor, the injection of unauthorized instruction or the occurrence of an error in the expected instruction are the main conditions for potentially serious such vulnerabilities. With the execution of these unauthorized instructions, adversaries could exploit SoC and execute their own malicious program or get higher-level privileges on the system. On the other hand, non-intentional errors can potentially corrupt programs causing unintended executions or the cause of program crashes. Modern trusted architectures propose solutions for unauthorized execution on SoC with additional software mechanisms or extra hardware logic on the same untrusted SoC. Nevertheless, these SoCs can still be vulnerable, as long as deployed security detection mechanisms are embedded within the same SoC’s fabric. Furthermore, validation mechanisms on the SoC increase the complexity and power consumption of the SoC. This paper presents DEV-PIM, a new, high-performance, and low-cost execution validation mechanism in SoCs with external DRAM memory. The proposed approach uses processing-in-memory (PIM) method to detect instruction injections or corrupted instructions by utilising basic computing resources on a standard DRAM device. DEV-PIM transfers instructions scheduled for execution on the CPU to the DRAM and validates them by comparing content with the trusted program record on the DRAM using PIM operations. By optimising the DRAM scheduling process validation tasks are only executed when memory access is idle. The CPU retains uninterrupted memory access and can continue its normal program flow without penalty. We evaluate DEV-PIM in an end-to-end DRAM-compatible environment and run a set of software benchmarks. On average, the proposed architecture is able to detect 98.46% of instruction injections for different validation. We also measured on average only 0.346% CPU execution overhead with DEV-PIM enabled.
CPU执行过程中的指令注入或软错误会导致严重的系统漏洞。在处理器的标准程序流程中,注入未经授权的指令或在预期指令中出现错误是潜在严重漏洞的主要条件。通过执行这些未经授权的指令,攻击者可以利用SoC并执行他们自己的恶意程序或在系统上获得更高级别的权限。另一方面,非故意错误可能会破坏程序,导致意外执行或导致程序崩溃。现代可信架构提出了在SoC上未经授权执行的解决方案,在相同的不可信SoC上使用额外的软件机制或额外的硬件逻辑。然而,只要部署的安全检测机制嵌入到相同的SoC结构中,这些SoC仍然容易受到攻击。此外,SoC上的验证机制增加了SoC的复杂性和功耗。本文提出了一种新的、高性能的、低成本的运行验证机制DEV-PIM。该方法利用标准DRAM设备上的基本计算资源,采用内存处理(PIM)方法检测指令注入或损坏指令。DEV-PIM将计划在CPU上执行的指令传输到DRAM,并通过使用PIM操作将内容与DRAM上的可信程序记录进行比较来验证它们。通过优化DRAM调度过程,验证任务仅在内存访问空闲时执行。CPU保持不间断的内存访问,可以继续其正常的程序流而不会受到惩罚。我们在端到端内存兼容环境中评估DEV-PIM,并运行一组软件基准测试。平均而言,所提出的架构能够检测98.46%的指令注入以进行不同的验证。我们还测量了在启用DEV-PIM时平均只有0.346%的CPU执行开销。
{"title":"DEV-PIM: Dynamic Execution Validation with Processing-in-Memory","authors":"Alper Bolat, Yahya Can Tugrul, Seyyid Hikmet Çelik, S. Sezer, M. Ottavi, O. Ergin","doi":"10.1109/ETS56758.2023.10174063","DOIUrl":"https://doi.org/10.1109/ETS56758.2023.10174063","url":null,"abstract":"Instruction injections or soft errors during execution on the CPU can cause serious system vulnerabilities. During the standard program flow of the processor, the injection of unauthorized instruction or the occurrence of an error in the expected instruction are the main conditions for potentially serious such vulnerabilities. With the execution of these unauthorized instructions, adversaries could exploit SoC and execute their own malicious program or get higher-level privileges on the system. On the other hand, non-intentional errors can potentially corrupt programs causing unintended executions or the cause of program crashes. Modern trusted architectures propose solutions for unauthorized execution on SoC with additional software mechanisms or extra hardware logic on the same untrusted SoC. Nevertheless, these SoCs can still be vulnerable, as long as deployed security detection mechanisms are embedded within the same SoC’s fabric. Furthermore, validation mechanisms on the SoC increase the complexity and power consumption of the SoC. This paper presents DEV-PIM, a new, high-performance, and low-cost execution validation mechanism in SoCs with external DRAM memory. The proposed approach uses processing-in-memory (PIM) method to detect instruction injections or corrupted instructions by utilising basic computing resources on a standard DRAM device. DEV-PIM transfers instructions scheduled for execution on the CPU to the DRAM and validates them by comparing content with the trusted program record on the DRAM using PIM operations. By optimising the DRAM scheduling process validation tasks are only executed when memory access is idle. The CPU retains uninterrupted memory access and can continue its normal program flow without penalty. We evaluate DEV-PIM in an end-to-end DRAM-compatible environment and run a set of software benchmarks. On average, the proposed architecture is able to detect 98.46% of instruction injections for different validation. We also measured on average only 0.346% CPU execution overhead with DEV-PIM enabled.","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127432567","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Online Fault Detection and Diagnosis in RRAM RRAM中的在线故障检测与诊断
Pub Date : 2023-05-22 DOI: 10.1109/ETS56758.2023.10174113
M. Fieback, Filip Bradaric, M. Taouil, S. Hamdioui
Resistive Random Access Memory (RRAM, or ReRAM) is a promising memory technology to replace Flash because of its low power consumption, high storage density, and simple integration in existing IC production processes. This has motivated many companies to invest in this technology. However, RRAM manufacturing introduces new failure mechanisms and faults that cause functional errors. These faults cannot all be detected by state-of-the-art test and diagnosis solutions, thus leading to slower product development and low-quality products. This paper introduces a design-for-test (DFT) based on a parallel-multi-reference read (PMRR) circuit that can detect all RRAM array faults. The PMRR circuit replaces the standard sense amplifier and compares the cell’s state to multiple references during one read operation. Thus, it can be used as a DFT scheme and a normal read circuit at once. This allows for speeding up production testing and the online detection of faults. Furthermore, the circuit is extendable so that more references can be compared, which is required for efficient diagnosis. Finally, the references can be adjusted to maximize the production yield. The circuit outperforms state-of-the-art solutions because it can detect all RRAM faults during diagnosis, production testing, and during its application in the field while minimizing yield loss.
电阻式随机存取存储器(RRAM,简称ReRAM)具有功耗低、存储密度高、易于集成等优点,是一种有望取代闪存的存储器技术。这促使许多公司投资于这项技术。然而,RRAM制造引入了新的失效机制和导致功能错误的故障。这些故障无法通过最先进的测试和诊断解决方案全部检测到,从而导致产品开发速度减慢和产品质量降低。本文介绍了一种基于并行多参考读电路的测试设计(DFT)方法,该方法可以检测RRAM阵列的所有故障。PMRR电路取代了标准的感测放大器,并在一次读取操作期间将单元的状态与多个参考进行比较。因此,它可以同时用作DFT格式和普通读电路。这允许加快生产测试和在线检测故障。此外,电路具有可扩展性,因此可以比较更多的参考,这是有效诊断所必需的。最后,可以调整参考,以最大限度地提高生产收率。该电路优于最先进的解决方案,因为它可以在诊断、生产测试和现场应用过程中检测到所有RRAM故障,同时最大限度地减少产量损失。
{"title":"Online Fault Detection and Diagnosis in RRAM","authors":"M. Fieback, Filip Bradaric, M. Taouil, S. Hamdioui","doi":"10.1109/ETS56758.2023.10174113","DOIUrl":"https://doi.org/10.1109/ETS56758.2023.10174113","url":null,"abstract":"Resistive Random Access Memory (RRAM, or ReRAM) is a promising memory technology to replace Flash because of its low power consumption, high storage density, and simple integration in existing IC production processes. This has motivated many companies to invest in this technology. However, RRAM manufacturing introduces new failure mechanisms and faults that cause functional errors. These faults cannot all be detected by state-of-the-art test and diagnosis solutions, thus leading to slower product development and low-quality products. This paper introduces a design-for-test (DFT) based on a parallel-multi-reference read (PMRR) circuit that can detect all RRAM array faults. The PMRR circuit replaces the standard sense amplifier and compares the cell’s state to multiple references during one read operation. Thus, it can be used as a DFT scheme and a normal read circuit at once. This allows for speeding up production testing and the online detection of faults. Furthermore, the circuit is extendable so that more references can be compared, which is required for efficient diagnosis. Finally, the references can be adjusted to maximize the production yield. The circuit outperforms state-of-the-art solutions because it can detect all RRAM faults during diagnosis, production testing, and during its application in the field while minimizing yield loss.","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130849926","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Hybrid Ring Generators for In-System Test Applications 用于系统内测试应用的混合环发生器
Pub Date : 2023-05-22 DOI: 10.1109/ETS56758.2023.10174093
J. Rajski, Maciej Trawka, J. Tyszer, Bartosz Wlodarczak
Ring generators are high speed devices formed by transformations that alter the structure of conventional linear feedback shift registers (LFSRs) while preserving a transition function of the original circuits [8]. They feature a reduced number of levels of XOR logic, minimized internal fan-outs, and simplified layout and routing. This paper discusses hybrid ring generators – a new class of lightweight linear finite state machines. While they use the principal design rules of conventional ring generators, the new devices can reduce the number of XOR gates up to seven times compared to conventional rings implementing the same characteristic polynomial. It makes a substantial contribution toward the performance of linear circuits used in a variety of test applications. Several issues related to hybrid ring generators such as designing MISRs, programable PRPGs, or phase shifters are also discussed in the paper along with data providing architectural details of hybrid ring generators for sizes up to 256 bits.
环形发生器是一种高速器件,通过改变传统线性反馈移位寄存器(lfsr)的结构,同时保留原始电路的过渡函数而形成[8]。它们的特点是减少了XOR逻辑的级别,最小化了内部扇出,简化了布局和路由。本文讨论了一类新的轻型线性有限状态机——混合环发生器。虽然它们使用传统环发生器的主要设计规则,但与实现相同特征多项式的传统环相比,新设备可以减少至多七倍的异或门的数量。它对各种测试应用中使用的线性电路的性能做出了实质性的贡献。本文还讨论了与混合环发生器相关的几个问题,如设计misr、可编程prpg或移相器,并提供了256位混合环发生器的架构细节数据。
{"title":"Hybrid Ring Generators for In-System Test Applications","authors":"J. Rajski, Maciej Trawka, J. Tyszer, Bartosz Wlodarczak","doi":"10.1109/ETS56758.2023.10174093","DOIUrl":"https://doi.org/10.1109/ETS56758.2023.10174093","url":null,"abstract":"Ring generators are high speed devices formed by transformations that alter the structure of conventional linear feedback shift registers (LFSRs) while preserving a transition function of the original circuits [8]. They feature a reduced number of levels of XOR logic, minimized internal fan-outs, and simplified layout and routing. This paper discusses hybrid ring generators – a new class of lightweight linear finite state machines. While they use the principal design rules of conventional ring generators, the new devices can reduce the number of XOR gates up to seven times compared to conventional rings implementing the same characteristic polynomial. It makes a substantial contribution toward the performance of linear circuits used in a variety of test applications. Several issues related to hybrid ring generators such as designing MISRs, programable PRPGs, or phase shifters are also discussed in the paper along with data providing architectural details of hybrid ring generators for sizes up to 256 bits.","PeriodicalId":211522,"journal":{"name":"2023 IEEE European Test Symposium (ETS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115546638","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
2023 IEEE European Test Symposium (ETS)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1