首页 > 最新文献

European Mask and Lithography Conference最新文献

英文 中文
Deep supervised learning to estimate true rough line images from SEM images 从扫描电镜图像中估计真实粗线图像的深度监督学习
Pub Date : 2018-09-19 DOI: 10.1117/12.2324341
N. Chaudhary, S. Savari, S. S. Yeddulapalli
We use deep supervised learning for the Poisson denoising of low-dose scanning electron microscope (SEM) images as a step in the estimation of line edge roughness (LER) and line width roughness (LWR). Our denoising algorithm applies a deep convolutional neural network called SEMNet with 17 convolutional, 16 batch-normalization and 16 dropout layers to noisy images. We trained and tested SEMNet with a dataset of 100800 simulated SEM rough line images constructed by means of the Thorsos method and the ARTIMAGEN library developed by the National Institute of Standards and Technology. SEMNet achieved considerable improvements in peak signal-to-noise ratio (PSNR) as well as the best LER/LWR estimation accuracy compared with standard image denoisers.
我们将深度监督学习用于低剂量扫描电子显微镜(SEM)图像的泊松去噪,作为估计线边缘粗糙度(LER)和线宽度粗糙度(LWR)的一步。我们的去噪算法应用了一个名为SEMNet的深度卷积神经网络,该网络具有17个卷积层,16个批处理归一化层和16个dropout层。我们使用由Thorsos方法和美国国家标准与技术研究所开发的ARTIMAGEN库构建的100800张模拟SEM粗线图像数据集对SEMNet进行了训练和测试。与标准图像去噪器相比,SEMNet在峰值信噪比(PSNR)以及LER/LWR估计精度方面取得了相当大的改进。
{"title":"Deep supervised learning to estimate true rough line images from SEM images","authors":"N. Chaudhary, S. Savari, S. S. Yeddulapalli","doi":"10.1117/12.2324341","DOIUrl":"https://doi.org/10.1117/12.2324341","url":null,"abstract":"We use deep supervised learning for the Poisson denoising of low-dose scanning electron microscope (SEM) images as a step in the estimation of line edge roughness (LER) and line width roughness (LWR). Our denoising algorithm applies a deep convolutional neural network called SEMNet with 17 convolutional, 16 batch-normalization and 16 dropout layers to noisy images. We trained and tested SEMNet with a dataset of 100800 simulated SEM rough line images constructed by means of the Thorsos method and the ARTIMAGEN library developed by the National Institute of Standards and Technology. SEMNet achieved considerable improvements in peak signal-to-noise ratio (PSNR) as well as the best LER/LWR estimation accuracy compared with standard image denoisers.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"48 5 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2018-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123151396","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Accurate determination of 3D PSF and resist effects in grayscale laser lithography 灰度激光光刻中三维PSF和抗蚀效果的精确测定
Pub Date : 2018-09-19 DOI: 10.1117/12.2326007
T. Onanuga, C. Kaspar, H. Sailer, A. Erdmann
Accurate calibration of the optical and resist parameters is invaluable for the computation of the dose distribution needed to fabricate a desired non-binary photoresist topography. This paper presents a method for precisely evaluating the 3D point spread function (PSF) and model parameters for the resist processes in laser grayscale lithography. The 3D PSF and resist model parameters were determined by fitting a detailed model of the grayscale process to experimental measurements of an array of test patterns. Measuring the entire 3D profile provides more data for process calibration, and therefore a more accurate model. The derived model parameters were applied to correctly predict the topography of sawtooth patterns.
精确校准光学和抗蚀剂参数对于计算制造理想的非二元光抗蚀剂形貌所需的剂量分布是无价的。提出了一种精确计算激光灰度光刻中抗蚀剂过程的三维点扩散函数(PSF)和模型参数的方法。通过将灰度过程的详细模型拟合到一系列测试图案的实验测量中,确定了三维PSF和电阻模型参数。测量整个3D轮廓为过程校准提供了更多的数据,因此更准确的模型。利用所得到的模型参数对锯齿状图案的形貌进行了正确的预测。
{"title":"Accurate determination of 3D PSF and resist effects in grayscale laser lithography","authors":"T. Onanuga, C. Kaspar, H. Sailer, A. Erdmann","doi":"10.1117/12.2326007","DOIUrl":"https://doi.org/10.1117/12.2326007","url":null,"abstract":"Accurate calibration of the optical and resist parameters is invaluable for the computation of the dose distribution needed to fabricate a desired non-binary photoresist topography. This paper presents a method for precisely evaluating the 3D point spread function (PSF) and model parameters for the resist processes in laser grayscale lithography. The 3D PSF and resist model parameters were determined by fitting a detailed model of the grayscale process to experimental measurements of an array of test patterns. Measuring the entire 3D profile provides more data for process calibration, and therefore a more accurate model. The derived model parameters were applied to correctly predict the topography of sawtooth patterns.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"23 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2018-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123777021","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Lithography technology and trends for More than Moore devices: advanced packaging and MEMS devices 超过摩尔器件的光刻技术和趋势:先进封装和MEMS器件
Pub Date : 2018-09-19 DOI: 10.1117/12.2326784
A. Pizzagalli
Lithography requirements for Advanced Packaging & MEMS are very different compared to mainstream semiconductor industries’ needs. Even if the market entry barrier is much lower in the “More than Moore” market, customer adoptions needs are higher in the packaging area with respect to resolution, overlay, sidewall angle, and depth of focus (DOF), wafer handling for wafer bow and backside alignment. Key technical trends, requirements and challenges regarding the lithography technologies will be addressed in this paper. In addition, more insights on the current and emerging lithography methods for More than Moore devices will be included, as well as market forecast, competitive landscape of the major equipment suppliers addressing these fields.
与主流半导体行业的需求相比,先进封装和MEMS的光刻要求非常不同。即使“超过摩尔”市场的市场准入门槛要低得多,但在封装领域,客户对分辨率、覆盖层、侧壁角度、对焦深度(DOF)、晶圆弯曲和背面对准的晶圆处理等方面的需求更高。本文将讨论光刻技术的主要技术趋势、要求和挑战。此外,还将包括对more more Moore器件当前和新兴光刻方法的更多见解,以及市场预测,解决这些领域的主要设备供应商的竞争格局。
{"title":"Lithography technology and trends for More than Moore devices: advanced packaging and MEMS devices","authors":"A. Pizzagalli","doi":"10.1117/12.2326784","DOIUrl":"https://doi.org/10.1117/12.2326784","url":null,"abstract":"Lithography requirements for Advanced Packaging & MEMS are very different compared to mainstream semiconductor industries’ needs. Even if the market entry barrier is much lower in the “More than Moore” market, customer adoptions needs are higher in the packaging area with respect to resolution, overlay, sidewall angle, and depth of focus (DOF), wafer handling for wafer bow and backside alignment. Key technical trends, requirements and challenges regarding the lithography technologies will be addressed in this paper. In addition, more insights on the current and emerging lithography methods for More than Moore devices will be included, as well as market forecast, competitive landscape of the major equipment suppliers addressing these fields.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"36 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2018-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122288693","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Deposition durability of e-beam mask repair 电子束掩模修复的沉积耐久性
Pub Date : 2018-09-19 DOI: 10.1117/12.2323905
T. Krome, C. Holfeld, Tim Göhler, P. Nesládek
The durability of deposition repairs of two different e-beam mask repair tools has been examined and compared in this work. To obtain this data, clear defects on production masks have been repaired with both tools. In between these repairs the mask was used for production and gathered exposure dose accordingly. The increase of transmission and hence the degradation of the deposition has been determined by AIMSTM. We could confirm that one tool/process shows better stability of the depositions than the other.
本文对两种不同电子束掩模修复工具的沉积修复耐久性进行了研究和比较。为了获得这些数据,使用这两种工具修复了生产掩模上的明显缺陷。在这些修复之间,口罩用于生产并相应地收集暴露剂量。透射率的增加和沉积的退化是由AIMSTM测定的。我们可以确认一种工具/工艺比另一种工具/工艺表现出更好的沉积稳定性。
{"title":"Deposition durability of e-beam mask repair","authors":"T. Krome, C. Holfeld, Tim Göhler, P. Nesládek","doi":"10.1117/12.2323905","DOIUrl":"https://doi.org/10.1117/12.2323905","url":null,"abstract":"The durability of deposition repairs of two different e-beam mask repair tools has been examined and compared in this work. To obtain this data, clear defects on production masks have been repaired with both tools. In between these repairs the mask was used for production and gathered exposure dose accordingly. The increase of transmission and hence the degradation of the deposition has been determined by AIMSTM. We could confirm that one tool/process shows better stability of the depositions than the other.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"29 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2018-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128071368","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Manufacturing of roughness standard samples based on ACF/PSD model programming 基于ACF/PSD模型编程的粗糙度标准样件制造
Pub Date : 2018-09-19 DOI: 10.1117/12.2327095
J. Reche, M. Besacier, P. Gergaud, Y. Blancquaert
Currently, Line Edge Roughness (LER) and Line Width Roughness (LWR) control presents a huge challenge for the lithography step in microelectronic industries. For advanced nodes, this morphological aspect reaches the same order of magnitude than the Critical Dimension, which leads to an increased power consumption by transistors and devices. Hence, the control of roughness needs an adapted metrology. This study proposes to manufacture roughness standard samples and their validation. These samples can be used as standards to evaluate the capabilities of several tools. The preliminary part of this study has been carried out with periodical roughness sample to demonstrate the metrology approach. Further, programming of roughness based on Power Spectral Density (PSD) with Auto-Correlation Function (ACF) model is used to achieve roughness close to the real roughness case. A description of how design programmed roughness has been made and its exposition in the real conditions are detailed in this study. Moreover, a specific methodology of control has been developed, the results obtained have been compared with design inputs and mostly validated by experimental processes. This work represents the first step of manufacturing roughness standard samples based on PSD model design.
目前,线边粗糙度(LER)和线宽粗糙度(LWR)的控制是微电子行业光刻工艺的一个巨大挑战。对于高级节点,这种形态方面达到与关键维度相同的数量级,这导致晶体管和器件的功耗增加。因此,粗糙度的控制需要一种适应的计量方法。本研究拟制作粗糙度标准样品并进行验证。这些示例可以用作评估几种工具功能的标准。本研究的初步部分已经进行了周期性粗糙度样品,以证明计量方法。在此基础上,基于功率谱密度(PSD)和自相关函数(ACF)模型对粗糙度进行编程,使粗糙度更接近真实粗糙度情况。在本研究中,详细描述了如何编制设计程序的粗糙度及其在实际条件下的说明。此外,还开发了一种特定的控制方法,所获得的结果已与设计输入进行了比较,并大多通过实验过程进行了验证。本工作是基于PSD模型设计制造粗糙度标准样品的第一步。
{"title":"Manufacturing of roughness standard samples based on ACF/PSD model programming","authors":"J. Reche, M. Besacier, P. Gergaud, Y. Blancquaert","doi":"10.1117/12.2327095","DOIUrl":"https://doi.org/10.1117/12.2327095","url":null,"abstract":"Currently, Line Edge Roughness (LER) and Line Width Roughness (LWR) control presents a huge challenge for the lithography step in microelectronic industries. For advanced nodes, this morphological aspect reaches the same order of magnitude than the Critical Dimension, which leads to an increased power consumption by transistors and devices. Hence, the control of roughness needs an adapted metrology. This study proposes to manufacture roughness standard samples and their validation. These samples can be used as standards to evaluate the capabilities of several tools. The preliminary part of this study has been carried out with periodical roughness sample to demonstrate the metrology approach. Further, programming of roughness based on Power Spectral Density (PSD) with Auto-Correlation Function (ACF) model is used to achieve roughness close to the real roughness case. A description of how design programmed roughness has been made and its exposition in the real conditions are detailed in this study. Moreover, a specific methodology of control has been developed, the results obtained have been compared with design inputs and mostly validated by experimental processes. This work represents the first step of manufacturing roughness standard samples based on PSD model design.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"10775 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2018-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129921812","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Fast local registration measurements for efficient e-beam writer qualification and correction 快速局部配准测量,有效的电子束写入器鉴定和校正
Pub Date : 2018-09-19 DOI: 10.1117/12.2325627
K. Roeth, H. Steigerwald, Runyuan Han, Oliver Ache, F. Laske
Mask data are presented which demonstrate local registration errors that can be correlated to the writing swathes of stateof-the-art e-beam writers and multi-pass strategies, potentially leading to systematic device registration errors versus design of close to 2nm. Furthermore, error signatures for local charging and process effects are indicated by local registration measurements resulting in systematic error, also on the order of 2nm.
提出的掩模数据表明,局部配准错误可能与最先进的电子束编写器和多通道策略的写入条带相关,与接近2nm的设计相比,可能导致系统器件配准错误。此外,局部配准测量结果显示了局部充电和工艺效应的误差特征,导致系统误差也在2nm左右。
{"title":"Fast local registration measurements for efficient e-beam writer qualification and correction","authors":"K. Roeth, H. Steigerwald, Runyuan Han, Oliver Ache, F. Laske","doi":"10.1117/12.2325627","DOIUrl":"https://doi.org/10.1117/12.2325627","url":null,"abstract":"Mask data are presented which demonstrate local registration errors that can be correlated to the writing swathes of stateof-the-art e-beam writers and multi-pass strategies, potentially leading to systematic device registration errors versus design of close to 2nm. Furthermore, error signatures for local charging and process effects are indicated by local registration measurements resulting in systematic error, also on the order of 2nm.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"110 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2018-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115741081","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Feasibility of monitoring a multiple e-beam tool using scatterometry and machine learning: stitching error detection 利用散射测量和机器学习监测多电子束工具的可行性:拼接错误检测
Pub Date : 2018-09-19 DOI: 10.1117/12.2326595
G. Rademaker, Y. Blancquaert, Thibault Labbaye, L. Mourier, N. Figueiro, Francisco Sanchez, R. Koret, J. Pradelles, S. Landis, Stéphane Rey, R. Haupt, Barak Bringoltz, Michael Shifrin, D. Kandel, Avron Ger, M. Sendelbach, S. Wolfling, L. Pain
Multiple electron beam direct write lithography is an emerging technology promising to address new markets, such as truly unique chips for security applications. The tool under consideration, the Mapper FLX-1200, exposes long 2.2 μm-wide zones called stripes by groups of 49 beams. The critical dimensions inside and the registration errors between the stripes, called stitching, are controlled by internal tool metrology. Additionally, there is great need for on-wafer metrology of critical dimension and stitching to monitor Mapper tool performance and validate the internal metrology. Optical Critical Dimension (OCD) metrology is a workhorse technique for various semiconductor manufacturing tools, such as deposition, etching, chemical-mechanical polishing and lithography machines. Previous works have shown the feasibility to measure the critical dimension of non-uniform targets by introducing an effective CD and shown that the non-uniformity can be quantified by a machine learning approach. This paper seeks to extend the previous work and presents a preliminary feasibility study to monitor stitching errors by measuring on a scatterometry tool with multiple optical channels. A wafer with OCD targets that mimic the various lithographic errors typical to the Mapper technology was created by variable shaped beam (VSB) e-beam lithography. The lithography process has been carefully tuned to minimize optically active systematic errors such as critical dimension gradients. The OCD targets contain horizontal and vertical gratings with a pitch of 100 nm and a nominal CD of 50 nm, and contain various stitching error types such as displacement in X, Y and diagonal gratings. Sensitivity to all stitching types has been shown. The DX targets showed non-linearity with respect to error size and typically were a factor of 3 less sensitive than the promising performance of DY targets. A similar performance difference has seen in nominally identical diagonal gratings exposed with vertical and horizontal lines, suggesting that OCD metrology for DX cannot be fully characterized due to lithography errors in gratings with vertical lines.
多电子束直写光刻技术是一项新兴技术,有望解决新市场,例如真正独特的安全应用芯片。正在讨论的工具是Mapper FLX-1200,它通过49束一组暴露出2.2 μm宽的条纹。内部的关键尺寸和条纹之间的配准误差(称为拼接)由内部刀具计量控制。此外,还需要对关键尺寸和拼接进行晶圆上计量,以监控Mapper工具的性能并验证内部计量。光学临界尺寸(OCD)计量是各种半导体制造工具的主要技术,如沉积,蚀刻,化学机械抛光和光刻机。以往的研究表明,通过引入有效CD来测量非均匀目标的临界尺寸是可行的,并且可以通过机器学习方法对非均匀性进行量化。本文旨在扩展先前的工作,并提出了一个初步的可行性研究,以监测拼接误差的测量与多光通道散射测量工具。采用可变形状光束(VSB)电子束光刻技术制造了具有OCD目标的晶圆,模拟了Mapper技术中常见的各种光刻误差。光刻工艺已经过精心调整,以尽量减少光学主动系统误差,如临界尺寸梯度。OCD目标包含水平和垂直光栅,间距为100 nm,标称CD为50 nm,并包含各种拼接误差类型,如X, Y和对角光栅的位移。对所有拼接类型的敏感性已经显示。DX靶在误差大小方面表现出非线性,其灵敏度通常比DY靶低3倍。在垂直和水平线暴露的名义上相同的对角光栅中也出现了类似的性能差异,这表明由于带有垂直线的光栅中的光刻误差,DX的OCD计量不能完全表征。
{"title":"Feasibility of monitoring a multiple e-beam tool using scatterometry and machine learning: stitching error detection","authors":"G. Rademaker, Y. Blancquaert, Thibault Labbaye, L. Mourier, N. Figueiro, Francisco Sanchez, R. Koret, J. Pradelles, S. Landis, Stéphane Rey, R. Haupt, Barak Bringoltz, Michael Shifrin, D. Kandel, Avron Ger, M. Sendelbach, S. Wolfling, L. Pain","doi":"10.1117/12.2326595","DOIUrl":"https://doi.org/10.1117/12.2326595","url":null,"abstract":"Multiple electron beam direct write lithography is an emerging technology promising to address new markets, such as truly unique chips for security applications. The tool under consideration, the Mapper FLX-1200, exposes long 2.2 μm-wide zones called stripes by groups of 49 beams. The critical dimensions inside and the registration errors between the stripes, called stitching, are controlled by internal tool metrology. Additionally, there is great need for on-wafer metrology of critical dimension and stitching to monitor Mapper tool performance and validate the internal metrology. Optical Critical Dimension (OCD) metrology is a workhorse technique for various semiconductor manufacturing tools, such as deposition, etching, chemical-mechanical polishing and lithography machines. Previous works have shown the feasibility to measure the critical dimension of non-uniform targets by introducing an effective CD and shown that the non-uniformity can be quantified by a machine learning approach. This paper seeks to extend the previous work and presents a preliminary feasibility study to monitor stitching errors by measuring on a scatterometry tool with multiple optical channels. A wafer with OCD targets that mimic the various lithographic errors typical to the Mapper technology was created by variable shaped beam (VSB) e-beam lithography. The lithography process has been carefully tuned to minimize optically active systematic errors such as critical dimension gradients. The OCD targets contain horizontal and vertical gratings with a pitch of 100 nm and a nominal CD of 50 nm, and contain various stitching error types such as displacement in X, Y and diagonal gratings. Sensitivity to all stitching types has been shown. The DX targets showed non-linearity with respect to error size and typically were a factor of 3 less sensitive than the promising performance of DY targets. A similar performance difference has seen in nominally identical diagonal gratings exposed with vertical and horizontal lines, suggesting that OCD metrology for DX cannot be fully characterized due to lithography errors in gratings with vertical lines.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"22 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2018-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123629176","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Application of rules-based corrections for wafer scale nanoimprint processes and evaluation of predictive models 基于规则的修正在晶圆尺度纳米压印工艺中的应用及预测模型的评估
Pub Date : 2018-09-19 DOI: 10.1117/12.2326106
H. Teyssèdre, P. Quéméré, J. Chartoire, F. Delachat, F. Boudaa, L. Perraud, M. May
In this paper the bias table models for the wafer scale SmartNIL™ technology are addressed and validated using complete Scanning Electron Microscopy (SEM) characterizations and polynomial interpolation functions. Like the other nanoimprint lithography (NIL) technics, this replication technology is known to induce Critical Dimension (CD) variations between the master and the imprint, due to polymer shrinkage, soft stamp deformation or thermal expansion. The bias between the former and final object follows peculiar rules which are specific to this process. To emphasis these singularities, Critical Dimension (CD) uniformity analyses were analyzed onto 200 mm wafers imprinted with the HERCULES® NIL equipment platform. Dedicated masters were manufactured to capture the process signatures: horizontal and vertical line arrays, local densities ranging from 0.1 to 0.9 and minimum CD of 250 nm. The silicon masters were manufactured with 248 optical lithography and dry etching and treated with an anti-sticking layer from Arkema. CD measurements were made for the master and the replicates on 48 well selected features to build interpolations. The bias table, modelled by polynomial functions with a degree of 5 for the density and a degree of 3 for the CD, are compared between horizontal and vertical features, and between the center and the edge of the wafers. Finally the focus is made on the validation of the interpolations by comparing the computed bias and the experimental data.
在本文中,使用完整的扫描电子显微镜(SEM)表征和多项式插值函数对晶圆级SmartNIL™技术的偏差表模型进行了处理和验证。与其他纳米压印(NIL)技术一样,由于聚合物收缩、软压印变形或热膨胀,这种复制技术已知会引起母版和压印之间的临界尺寸(CD)变化。前客体和最终客体之间的偏差遵循着这一过程所特有的特殊规则。为了强调这些奇异性,我们对使用HERCULES®NIL设备平台印制的200毫米晶圆进行了关键尺寸(CD)均匀性分析。专门制造的主控板用于捕获工艺特征:水平和垂直线阵列,局部密度范围为0.1至0.9,最小CD为250 nm。硅母片采用248光学光刻和干蚀刻工艺制造,并采用阿科玛的防粘层处理。CD测量对主和48个精心选择的特征进行复制,以建立插值。偏置表由密度为5度、CD为3度的多项式函数建模,比较了水平和垂直特征以及晶圆中心和边缘之间的偏置表。最后,通过比较计算偏差和实验数据,重点验证了插值的有效性。
{"title":"Application of rules-based corrections for wafer scale nanoimprint processes and evaluation of predictive models","authors":"H. Teyssèdre, P. Quéméré, J. Chartoire, F. Delachat, F. Boudaa, L. Perraud, M. May","doi":"10.1117/12.2326106","DOIUrl":"https://doi.org/10.1117/12.2326106","url":null,"abstract":"In this paper the bias table models for the wafer scale SmartNIL™ technology are addressed and validated using complete Scanning Electron Microscopy (SEM) characterizations and polynomial interpolation functions. Like the other nanoimprint lithography (NIL) technics, this replication technology is known to induce Critical Dimension (CD) variations between the master and the imprint, due to polymer shrinkage, soft stamp deformation or thermal expansion. The bias between the former and final object follows peculiar rules which are specific to this process. To emphasis these singularities, Critical Dimension (CD) uniformity analyses were analyzed onto 200 mm wafers imprinted with the HERCULES® NIL equipment platform. Dedicated masters were manufactured to capture the process signatures: horizontal and vertical line arrays, local densities ranging from 0.1 to 0.9 and minimum CD of 250 nm. The silicon masters were manufactured with 248 optical lithography and dry etching and treated with an anti-sticking layer from Arkema. CD measurements were made for the master and the replicates on 48 well selected features to build interpolations. The bias table, modelled by polynomial functions with a degree of 5 for the density and a degree of 3 for the CD, are compared between horizontal and vertical features, and between the center and the edge of the wafers. Finally the focus is made on the validation of the interpolations by comparing the computed bias and the experimental data.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"95 9 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2018-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130564131","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Approach to combine electron-beam lithography and two-photon polymerization for enhanced nano-channels in network-based biocomputation devices 结合电子束光刻和双光子聚合的方法在基于网络的生物计算设备中增强纳米通道
Pub Date : 2018-09-19 DOI: 10.1117/12.2326598
G. Heldt, C. Meinecke, S. Steenhusen, T. Korten, M. Gross, G. Domann, F. Lindberg, D. Reuter, S. Dietz, H. Linke, S. E. Schulz
Although conventional computer technology made a huge leap forward in the past decade, a vast number of computational problems remain inaccessible due to their inherently complex nature. One solution to deal with this computational complexity is to highly parallelize computations and to explore new technologies beyond semiconductor computers. Here, we report on initial results leading to a device employing a biological computation approach called network-based biocomputation (NBC). So far, the manufacturing process relies on conventional Electron Beam Lithography (EBL). However we show first promising results expanding EBL patterning to the third dimension by employing Two-Photon Polymerization (2PP). The nanofabricated structures rely on a combination of physical and chemical guiding of the microtubules through channels. Microtubules travelling through the network make their way through a number of different junctions. Here it is imperative that they do not take wrong turns. In order to decrease the usage of erroneous paths in the network a transition from planar 2-dimensional (mesh structure) networks to a design in which the crossing points of the mesh extend into the 3rd dimension is made. EBL is used to fabricate the 2D network structure whereas for the 3D-junctions 2PP is used. The good adaptation of the individual technologies allows for the possibility of a future combination of the two complementary approaches.
尽管传统的计算机技术在过去十年中取得了巨大的飞跃,但由于其固有的复杂性,大量的计算问题仍然无法解决。处理这种计算复杂性的一个解决方案是高度并行化计算和探索半导体计算机以外的新技术。在这里,我们报告了导致采用称为基于网络的生物计算(NBC)的生物计算方法的设备的初步结果。到目前为止,制造过程依赖于传统的电子束光刻(EBL)。然而,我们展示了通过双光子聚合(2PP)将EBL图案扩展到第三维度的第一个有希望的结果。纳米制造的结构依赖于微管通过通道的物理和化学引导的结合。微管在网络中穿行,通过许多不同的连接点。在这一点上,他们必须不要走错路。为了减少网络中错误路径的使用,从平面二维(网格结构)网络过渡到网格交叉点延伸到三维的设计。EBL用于制造二维网络结构,而3d结则使用2PP。对个别技术的良好适应使得将来有可能将这两种互补的方法结合起来。
{"title":"Approach to combine electron-beam lithography and two-photon polymerization for enhanced nano-channels in network-based biocomputation devices","authors":"G. Heldt, C. Meinecke, S. Steenhusen, T. Korten, M. Gross, G. Domann, F. Lindberg, D. Reuter, S. Dietz, H. Linke, S. E. Schulz","doi":"10.1117/12.2326598","DOIUrl":"https://doi.org/10.1117/12.2326598","url":null,"abstract":"Although conventional computer technology made a huge leap forward in the past decade, a vast number of computational problems remain inaccessible due to their inherently complex nature. One solution to deal with this computational complexity is to highly parallelize computations and to explore new technologies beyond semiconductor computers. Here, we report on initial results leading to a device employing a biological computation approach called network-based biocomputation (NBC). So far, the manufacturing process relies on conventional Electron Beam Lithography (EBL). However we show first promising results expanding EBL patterning to the third dimension by employing Two-Photon Polymerization (2PP). The nanofabricated structures rely on a combination of physical and chemical guiding of the microtubules through channels. Microtubules travelling through the network make their way through a number of different junctions. Here it is imperative that they do not take wrong turns. In order to decrease the usage of erroneous paths in the network a transition from planar 2-dimensional (mesh structure) networks to a design in which the crossing points of the mesh extend into the 3rd dimension is made. EBL is used to fabricate the 2D network structure whereas for the 3D-junctions 2PP is used. The good adaptation of the individual technologies allows for the possibility of a future combination of the two complementary approaches.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"11 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2018-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115393356","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
On the road to automated production workflows in the back end of line 在生产线后端的自动化生产工作流程的道路上
Pub Date : 2018-09-19 DOI: 10.1117/12.2326908
Gilles Tabbone, K. Egodage, K. Schulz, A. Garetto
The technical roadmap adopted by the semiconductor industry drives mask shops to embrace advanced solutions to overcome challenges inherent to smaller technology nodes while increasing reliability and turnaround time (TAT). It is observed that the TAT is increasing at a rapid rate for each new ground rule. At the same time, productivity and quality must be ensured to deliver the perfect mask to the customer. These challenges require optimization of overall manufacturing flows and individual steps, which can be addressed and improved via smart automation. Ideally, remote monitoring, controlling and adjusting key aspects of the production would improve labor efficiency and enhance productivity. It would require collecting and analyzing all available process data to facilitate or even automate decision-making steps. In mask shops, numerous areas of the back end of line (BEOL) workflow have room for improvement in regards to defect disposition, reducing human errors, standardizing recipe generation, data analysis and accessibility to useful and centralized information to support certain approaches such as repair. Adapting these aspects allows mask manufacturers to control and even predict the TAT that would lead to an optimized process of record.
半导体行业采用的技术路线图促使掩模商店采用先进的解决方案,以克服较小技术节点固有的挑战,同时提高可靠性和周转时间(TAT)。可以观察到,对于每一个新的基本规则,TAT都在快速增长。同时,必须保证生产效率和质量,为客户提供完美的口罩。这些挑战需要对整个制造流程和各个步骤进行优化,这可以通过智能自动化来解决和改进。理想情况下,远程监控、控制和调整生产的关键环节将提高劳动效率,提高生产率。它需要收集和分析所有可用的过程数据,以促进甚至自动化决策步骤。在口罩车间,后端生产线(BEOL)工作流程的许多领域在缺陷处理、减少人为错误、标准化配方生成、数据分析以及对有用和集中信息的可访问性(以支持某些方法,如维修)方面都有改进的空间。调整这些方面使口罩制造商能够控制甚至预测TAT,从而优化记录过程。
{"title":"On the road to automated production workflows in the back end of line","authors":"Gilles Tabbone, K. Egodage, K. Schulz, A. Garetto","doi":"10.1117/12.2326908","DOIUrl":"https://doi.org/10.1117/12.2326908","url":null,"abstract":"The technical roadmap adopted by the semiconductor industry drives mask shops to embrace advanced solutions to overcome challenges inherent to smaller technology nodes while increasing reliability and turnaround time (TAT). It is observed that the TAT is increasing at a rapid rate for each new ground rule. At the same time, productivity and quality must be ensured to deliver the perfect mask to the customer. These challenges require optimization of overall manufacturing flows and individual steps, which can be addressed and improved via smart automation. Ideally, remote monitoring, controlling and adjusting key aspects of the production would improve labor efficiency and enhance productivity. It would require collecting and analyzing all available process data to facilitate or even automate decision-making steps. In mask shops, numerous areas of the back end of line (BEOL) workflow have room for improvement in regards to defect disposition, reducing human errors, standardizing recipe generation, data analysis and accessibility to useful and centralized information to support certain approaches such as repair. Adapting these aspects allows mask manufacturers to control and even predict the TAT that would lead to an optimized process of record.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"28 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2018-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126764885","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
期刊
European Mask and Lithography Conference
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1