首页 > 最新文献

European Mask and Lithography Conference最新文献

英文 中文
Antireflective moth-eye structures on curved surfaces fabricated by nanoimprint lithography 用纳米压印光刻技术制备曲面抗反射蛾眼结构
Pub Date : 2019-08-29 DOI: 10.1117/12.2535683
M. Haslinger, A. Moharana, M. Mühlberger
In this work, we describe an effective and simple method for surface patterning of 3D objects with antireflective moth-eye structures via UV based nanoimprint lithography using soft stamps. So-called anti-reflective moth-eye structures are subwavelength nanostructures that can reduce the reflection of surfaces over the entire visible spectrum of light. Such broadband antireflective coatings are especially interesting for optical elements like lenses.
在这项工作中,我们描述了一种有效而简单的方法,通过基于UV的纳米压印光刻技术,使用软邮票对具有抗反射蛾眼结构的3D物体进行表面图图化。所谓的抗反射蛾眼结构是亚波长纳米结构,可以减少整个可见光谱表面的反射。这种宽频带抗反射涂层对于像透镜这样的光学元件来说尤其有趣。
{"title":"Antireflective moth-eye structures on curved surfaces fabricated by nanoimprint lithography","authors":"M. Haslinger, A. Moharana, M. Mühlberger","doi":"10.1117/12.2535683","DOIUrl":"https://doi.org/10.1117/12.2535683","url":null,"abstract":"In this work, we describe an effective and simple method for surface patterning of 3D objects with antireflective moth-eye structures via UV based nanoimprint lithography using soft stamps. So-called anti-reflective moth-eye structures are subwavelength nanostructures that can reduce the reflection of surfaces over the entire visible spectrum of light. Such broadband antireflective coatings are especially interesting for optical elements like lenses.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127162771","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Progress in imaging performance with EUV pellicles 极紫外光膜成像性能研究进展
Pub Date : 2019-08-29 DOI: 10.1117/12.2535675
O. Romanets, K. Ricken, M. Kupers, F. Wählisch, C. Piliego, P. Broman, D. de Graaf
The purpose of pellicles is to protect reticles from particle contamination, thus reducing the number of defects and increasing yield. In this paper we show how recent progress in pellicle technology has succeeded in solving the main challenges in imaging with EUV pellicles. We demonstrate this using the recent results of imaging tests in scanner, EUV reflectivity measurements, and lifetime testing. EUV light reflectivity of pellicles is one of the effects that have negatively impacted imaging with pellicles in the past. Light reflected from pellicles leads to the overexposure of neighboring fields in the corners and edges. Tests with pellicles produced using a new process show EUV reflectivity within specification of 0.04%, and measured impact on critical dimension in the corners below 0.15nm for multiple pellicles. Lifetime performance was tested by exposing up to 3000 wafers with a pellicle while periodically assessing the stability of imaging metrics. The lithometrics studies include: critical dimension (CD) and critical dimension uniformity (CDU), and contrast (via line width roughness). DoseMapper, which is an EUV scanner application developed to improve CDU, was applied during the lifetime test. Here we show that it can successfully reduce the pellicle-induced CDU and CDU over lifetime (previously shown to be dominated by pellicle EUV transmission drift). Our results using DoseMapper show that whilst intrafield CDU 3sigma increases over lifetime, it stays comfortably within the 1.1nm NXE3400 ATP specification using DoseMapper.
膜的目的是保护网纹不受颗粒污染,从而减少缺陷的数量,提高产量。在本文中,我们展示了薄膜技术的最新进展如何成功地解决了用EUV薄膜成像的主要挑战。我们使用扫描仪成像测试、EUV反射率测量和寿命测试的最新结果来证明这一点。薄膜的极紫外光反射率是过去对薄膜成像产生负面影响的因素之一。从膜反射的光导致拐角和边缘的邻近区域过度曝光。对采用新工艺生产的薄膜进行的测试表明,EUV反射率在0.04%的范围内,并且测量了多个薄膜在0.15nm以下的角落对临界尺寸的影响。通过在薄膜上暴露多达3000片晶圆来测试寿命性能,同时定期评估成像指标的稳定性。岩性研究包括:临界尺寸(CD)和临界尺寸均匀性(CDU),以及对比度(通过线宽粗糙度)。DoseMapper是为提高CDU而开发的EUV扫描仪应用程序,用于寿命测试。在这里,我们表明它可以成功地减少薄膜诱导的CDU和CDU的寿命(以前显示由薄膜EUV传输漂移主导)。我们使用DoseMapper的结果表明,虽然场内CDU 3sigma随着使用寿命的增加而增加,但使用DoseMapper时,它保持在1.1nm NXE3400 ATP规格内。
{"title":"Progress in imaging performance with EUV pellicles","authors":"O. Romanets, K. Ricken, M. Kupers, F. Wählisch, C. Piliego, P. Broman, D. de Graaf","doi":"10.1117/12.2535675","DOIUrl":"https://doi.org/10.1117/12.2535675","url":null,"abstract":"The purpose of pellicles is to protect reticles from particle contamination, thus reducing the number of defects and increasing yield. In this paper we show how recent progress in pellicle technology has succeeded in solving the main challenges in imaging with EUV pellicles. We demonstrate this using the recent results of imaging tests in scanner, EUV reflectivity measurements, and lifetime testing. EUV light reflectivity of pellicles is one of the effects that have negatively impacted imaging with pellicles in the past. Light reflected from pellicles leads to the overexposure of neighboring fields in the corners and edges. Tests with pellicles produced using a new process show EUV reflectivity within specification of 0.04%, and measured impact on critical dimension in the corners below 0.15nm for multiple pellicles. Lifetime performance was tested by exposing up to 3000 wafers with a pellicle while periodically assessing the stability of imaging metrics. The lithometrics studies include: critical dimension (CD) and critical dimension uniformity (CDU), and contrast (via line width roughness). DoseMapper, which is an EUV scanner application developed to improve CDU, was applied during the lifetime test. Here we show that it can successfully reduce the pellicle-induced CDU and CDU over lifetime (previously shown to be dominated by pellicle EUV transmission drift). Our results using DoseMapper show that whilst intrafield CDU 3sigma increases over lifetime, it stays comfortably within the 1.1nm NXE3400 ATP specification using DoseMapper.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"66 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129350931","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Continuous challenges for next era of lithography 为下一个光刻时代不断挑战
Pub Date : 2019-08-29 DOI: 10.1117/12.2534910
T. Hiromatsu, Ryo Ohkubo, Hitoshi Maeda, Toru Fukui, H. Shishido, K. Ono, M. Hashimoto
This paper shows the latest challenges facing mask blank evolution to support leading-edge lithography processes. ArF immersion lithography has been employing multi-pass exposures to exceed the physical diffraction limit. These photomasks demand very accurate overlay, higher NILS and best CD uniformity for wider process window. The subject was considered from two perspectives from a mask blank producer, which are the mask-making perspective and the wafer lithography perspective. To improve the overlay, we introduced the dedicated CDL (Charge Dissipation Layer) for improving mask registration error. From the lithography resolution perspective, we have developed a high-transmittance phase-shifter film for higher NILS. CDU stability point of view, we described “Superior pattern fidelity CAR”, “High ArF durability SiN phase-shifter” and “Transparent etching stopper”. The industry decided to move to EUV lithography. But there are still many challenges for optical lithography.
本文展示了支持前沿光刻工艺的掩模坯料演变所面临的最新挑战。ArF浸没式光刻一直采用多道曝光来超过物理衍射极限。这些掩模需要非常精确的覆盖,更高的NILS和最佳的CD均匀性,以实现更宽的工艺窗口。本课题从掩模坯料生产者的掩模制作和晶圆光刻两个角度来考虑。为了改善覆盖,我们引入了专用的CDL(电荷耗散层)来改善掩码配准误差。从光刻分辨率的角度,我们开发了一种高透射率移相膜,用于更高的NILS。从CDU稳定性的角度来看,我们描述了“卓越的模式保真度CAR”,“高ArF耐久性SiN移相器”和“透明蚀刻塞”。业界决定转向EUV光刻技术。但光刻技术仍面临许多挑战。
{"title":"Continuous challenges for next era of lithography","authors":"T. Hiromatsu, Ryo Ohkubo, Hitoshi Maeda, Toru Fukui, H. Shishido, K. Ono, M. Hashimoto","doi":"10.1117/12.2534910","DOIUrl":"https://doi.org/10.1117/12.2534910","url":null,"abstract":"This paper shows the latest challenges facing mask blank evolution to support leading-edge lithography processes. ArF immersion lithography has been employing multi-pass exposures to exceed the physical diffraction limit. These photomasks demand very accurate overlay, higher NILS and best CD uniformity for wider process window. The subject was considered from two perspectives from a mask blank producer, which are the mask-making perspective and the wafer lithography perspective. To improve the overlay, we introduced the dedicated CDL (Charge Dissipation Layer) for improving mask registration error. From the lithography resolution perspective, we have developed a high-transmittance phase-shifter film for higher NILS. CDU stability point of view, we described “Superior pattern fidelity CAR”, “High ArF durability SiN phase-shifter” and “Transparent etching stopper”. The industry decided to move to EUV lithography. But there are still many challenges for optical lithography.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"70 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124895768","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Applying big data technologies to high tech manufacturing 将大数据技术应用于高技术制造业
Pub Date : 2019-08-29 DOI: 10.1117/12.2535589
D. Ortloff, Nils Knoblauch
The systematic analysis of ever-increasing data collection presents companies with ever-greater challenges. Many manufacturing organizations simply lack the know-how to handle Big Data projects and the corresponding data analysis right. Therefore one simply follows the current trends and buzz words and adopts approaches which are currently en vogue. This approach often leads to less successful projects and several regularly reoccurring patterns of misconceptions can be identified. This paper highlights some of these unsuccessful patterns and introduces some of the work done in the PRO-OPT SMART-DATA research project. The innovation in this data analysis approach is the combination of traditional statistical methods with new Big Data and AI analysis techniques applied to high tech manufacturing. Being able to align process data with the complete metrology data provides amazing new insights into the manufacturing. Furthermore, we will introduce a new visualization technique specifically suited for domains with high amounts of categorical data like semiconductor, photovoltaics, electronics and such. This paper will show how the combination of the statistical data analysis system Cornerstone in conjunction with Apache Spark1 and Apache Cassandra2 provides a good basis for engineering analytics of massive data amounts. By properly nesting the solid mathematical methods in Cornerstone with big data-appropriate infrastructure such as Apache Spark and, in our case, Apache Cassandra, many new analytics issues can be addressed. Analyzes that used to be inefficient due to the sheer volume of data in classically modeled schema’s can now be performed through appropriate big-table modeling and provide the ability to provide completely new insights into production data. Those directly impacted the manufacturing procedures and improved the products quality and reliability. Experiences gained in the project impacted the upcoming VDI/VDE guideline 37143 to be published later in the year 2019.
对不断增加的数据收集的系统分析给公司带来了更大的挑战。许多制造企业缺乏处理大数据项目和相应数据分析的能力。因此,人们只需遵循当前的趋势和流行语,并采用当前流行的方法。这种方法经常导致不太成功的项目,并且可以识别出一些经常重复出现的误解模式。本文重点介绍了其中一些不成功的模式,并介绍了PRO-OPT SMART-DATA研究项目所做的一些工作。这种数据分析方法的创新之处在于将传统的统计方法与应用于高科技制造业的新大数据和人工智能分析技术相结合。能够将过程数据与完整的计量数据对齐,为制造提供了惊人的新见解。此外,我们将介绍一种新的可视化技术,特别适用于具有大量分类数据的领域,如半导体,光伏,电子等。本文将展示统计数据分析系统Cornerstone与Apache Spark1和Apache Cassandra2的结合如何为海量数据的工程分析提供良好的基础。通过将坚实的数学方法与适合大数据的基础设施(如Apache Spark,在我们的例子中是Apache Cassandra)适当地嵌套在Cornerstone中,可以解决许多新的分析问题。过去由于经典建模模式中的大量数据而导致效率低下的分析,现在可以通过适当的大表建模来执行,并提供对生产数据的全新见解。这些直接影响到生产过程,提高了产品的质量和可靠性。项目中获得的经验影响了即将于2019年晚些时候发布的VDI/VDE指南37143。
{"title":"Applying big data technologies to high tech manufacturing","authors":"D. Ortloff, Nils Knoblauch","doi":"10.1117/12.2535589","DOIUrl":"https://doi.org/10.1117/12.2535589","url":null,"abstract":"The systematic analysis of ever-increasing data collection presents companies with ever-greater challenges. Many manufacturing organizations simply lack the know-how to handle Big Data projects and the corresponding data analysis right. Therefore one simply follows the current trends and buzz words and adopts approaches which are currently en vogue. This approach often leads to less successful projects and several regularly reoccurring patterns of misconceptions can be identified. This paper highlights some of these unsuccessful patterns and introduces some of the work done in the PRO-OPT SMART-DATA research project. The innovation in this data analysis approach is the combination of traditional statistical methods with new Big Data and AI analysis techniques applied to high tech manufacturing. Being able to align process data with the complete metrology data provides amazing new insights into the manufacturing. Furthermore, we will introduce a new visualization technique specifically suited for domains with high amounts of categorical data like semiconductor, photovoltaics, electronics and such. This paper will show how the combination of the statistical data analysis system Cornerstone in conjunction with Apache Spark1 and Apache Cassandra2 provides a good basis for engineering analytics of massive data amounts. By properly nesting the solid mathematical methods in Cornerstone with big data-appropriate infrastructure such as Apache Spark and, in our case, Apache Cassandra, many new analytics issues can be addressed. Analyzes that used to be inefficient due to the sheer volume of data in classically modeled schema’s can now be performed through appropriate big-table modeling and provide the ability to provide completely new insights into production data. Those directly impacted the manufacturing procedures and improved the products quality and reliability. Experiences gained in the project impacted the upcoming VDI/VDE guideline 37143 to be published later in the year 2019.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"36 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124085142","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
High-NA EUV lithography: pushing the limits 高na极紫外光刻:突破极限
Pub Date : 2019-08-29 DOI: 10.1117/12.2536469
C. Zahlten, P. Gräupner, J. van Schoot, P. Kürz, J. Stoeldraijer, W. Kaiser
EUV technology with its state-of-the-art tool generation equipped with a Numerical Aperture (NA) of 0.33 and providing 13 nm resolution is on the brink of entering high volume manufacturing. Extending the roadmap down to a resolution of 8 nm requires a high-NA successor tool. ASML and ZEISS are jointly developing an EUV scanner system with an NA of 0.55 to enable the continuation of Moore’s law throughout the next decade. In this paper we motivate the top-level requirements of this high-NA tool, deduce implications on system design and present how they are solved in the tool. In particular, we address implications of the high-NA leading to large mirror sizes, introduction of a central obscuration and an anamorphic lens design resulting in the transition from full to half field. A consequence of the high-NA is a reduced depth of focus which is dealt with by an improved focus control of the system. The aberration level of the high-NA tool will be significantly reduced w.r.t. the NA 0.33 tool generation. This is achieved by extreme aspheres accompanied by an advanced mirror manufacturing process with corrections down to atomic scale. To enable mirror manufacturing to this precision the limits of mirror metrology are pushed out by transferring the whole measurement process into vacuum. Finally, we will give an update on the current status of the high-NA tool development and the build-up of the necessary infrastructure.
EUV技术拥有最先进的工具一代,配备了0.33的数值孔径(NA),提供13纳米分辨率,即将进入大批量生产。将路线图扩展到8纳米的分辨率需要一个高分辨率的后续工具。ASML和蔡司正在联合开发一种具有0.55 NA的EUV扫描仪系统,以使摩尔定律在未来十年得以延续。在本文中,我们激发了这个高na工具的顶层需求,推导了对系统设计的影响,并介绍了如何在工具中解决这些问题。特别是,我们解决了高na导致大反射镜尺寸的影响,引入了中心遮挡和变形透镜设计,导致从全场到半场的过渡。高na的结果是焦深降低,这是通过改进系统的对焦控制来解决的。与NA 0.33刀具相比,高NA刀具的像差水平将显著降低。这是通过极端的球体和先进的镜面制造工艺来实现的,其校正精度低至原子尺度。为了使镜面制造达到这种精度,将整个测量过程转移到真空中,从而突破了镜面计量的限制。最后,我们将对高na工具开发的现状和必要基础设施的建立进行更新。
{"title":"High-NA EUV lithography: pushing the limits","authors":"C. Zahlten, P. Gräupner, J. van Schoot, P. Kürz, J. Stoeldraijer, W. Kaiser","doi":"10.1117/12.2536469","DOIUrl":"https://doi.org/10.1117/12.2536469","url":null,"abstract":"EUV technology with its state-of-the-art tool generation equipped with a Numerical Aperture (NA) of 0.33 and providing 13 nm resolution is on the brink of entering high volume manufacturing. Extending the roadmap down to a resolution of 8 nm requires a high-NA successor tool. ASML and ZEISS are jointly developing an EUV scanner system with an NA of 0.55 to enable the continuation of Moore’s law throughout the next decade. In this paper we motivate the top-level requirements of this high-NA tool, deduce implications on system design and present how they are solved in the tool. In particular, we address implications of the high-NA leading to large mirror sizes, introduction of a central obscuration and an anamorphic lens design resulting in the transition from full to half field. A consequence of the high-NA is a reduced depth of focus which is dealt with by an improved focus control of the system. The aberration level of the high-NA tool will be significantly reduced w.r.t. the NA 0.33 tool generation. This is achieved by extreme aspheres accompanied by an advanced mirror manufacturing process with corrections down to atomic scale. To enable mirror manufacturing to this precision the limits of mirror metrology are pushed out by transferring the whole measurement process into vacuum. Finally, we will give an update on the current status of the high-NA tool development and the build-up of the necessary infrastructure.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"55 10","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131578959","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
High-NA EUV imaging: challenges and outlook 高na EUV成像:挑战与展望
Pub Date : 2019-08-29 DOI: 10.1117/12.2536329
B. Bilski, J. Zimmermann, Matthias Roesch, J. Liddle, E. van Setten, G. Bottiglieri, J. van Schoot
The continuation of Moore’s law demands the continuous development of EUV lithography. After the NXE:3400B scanner, currently being inserted in high-volume manufacturing (HVM), the next logical step is to increase the numerical aperture (NA) of the EUV projection optics, from 0.33 to 0.55, resulting in a high-NA EUV scanner. Looking back at the history of lithography tools developed in the last decades, we can see that such an increase of NA is, in relative terms, unprecedented (0.55 = 0.33 + 67%). This significant step forward in the NA is a challenge on many fronts and requires many adaptations. In this paper you will find an overview of the key concepts that make high-NA lithography different on imaging end, how the imaging assures the continued life of Moore’s law for the years to come and what are potential mask-related developments that would contribute to high-NA’s success.
摩尔定律的延续要求极紫外光刻技术的不断发展。NXE:3400B扫描仪目前已投入大批量生产(HVM),在此之后,下一个合乎逻辑的步骤是将EUV投影光学器件的数值孔径(NA)从0.33增加到0.55,从而产生高NA EUV扫描仪。回顾过去几十年光刻工具的发展历史,我们可以看到,相对而言,NA的增长是前所未有的(0.55 = 0.33 + 67%)。这一重大进步在许多方面都是一个挑战,需要进行许多调整。在本文中,您将发现使高na光刻在成像端不同的关键概念的概述,成像如何确保摩尔定律在未来几年的持续生命,以及有助于高na成功的潜在掩模相关发展。
{"title":"High-NA EUV imaging: challenges and outlook","authors":"B. Bilski, J. Zimmermann, Matthias Roesch, J. Liddle, E. van Setten, G. Bottiglieri, J. van Schoot","doi":"10.1117/12.2536329","DOIUrl":"https://doi.org/10.1117/12.2536329","url":null,"abstract":"The continuation of Moore’s law demands the continuous development of EUV lithography. After the NXE:3400B scanner, currently being inserted in high-volume manufacturing (HVM), the next logical step is to increase the numerical aperture (NA) of the EUV projection optics, from 0.33 to 0.55, resulting in a high-NA EUV scanner. Looking back at the history of lithography tools developed in the last decades, we can see that such an increase of NA is, in relative terms, unprecedented (0.55 = 0.33 + 67%). This significant step forward in the NA is a challenge on many fronts and requires many adaptations. In this paper you will find an overview of the key concepts that make high-NA lithography different on imaging end, how the imaging assures the continued life of Moore’s law for the years to come and what are potential mask-related developments that would contribute to high-NA’s success.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"70 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133549106","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Reduce probability of wafer intra-field process (printing) defects for logic and DRAM applications 减少晶圆场内工艺(印刷)缺陷的可能性,用于逻辑和DRAM应用
Pub Date : 2019-08-29 DOI: 10.1117/12.2535686
Yael Sufrin, Avi Cohen, Ofir Sharoni, R. Seltmann
Wafer Intra-Field Process (Printing) Defects created due to various process segments. Narrow Lithography process window (Litho PW), effected by Dose & Focus (calibrated by FEM – Focus Exposure Matrix), is one of the major contributors for the wafer intra-filed process defects caused by hot spots. The Litho PW can be expanded by controlling the Dose parameters over the wafer intra-field. Dose parameters effect the Critical Dimension Uniformity (CDU). Controlling the wafer intra-field CDU will expand the Litho PW and will reduce the process (printing) defects. The extension of 193nm based lithography usage combined with design shrinkage rules for process control (in particular the wafer level CDU control), are extremely important and challenging task in IC manufacturing. This work will show the ZEISS CDC application (CD Control) and its significant positive effect on the intra-field CDU, Litho PW, and process defects probability, as well as introduction for wafer FAB integration flow. It will also challenge some existing process parameters specifications and will explain why IC manufacturing failures come real although all individual process parameters in spec. Specification limits for each individual parameter do not necessarily guarantee a successful process, as it’s almost impossible to anticipate and verify all possible interdependencies among different parameters. The goal is therefore, to show how to improve IC process by shrinking its individual parameters distributions, even if the variability of those parameters is in specification. This work will offer solution named as “Excursion Prevention” - Improve wafer intra-field CDU by using the ZEISS CDC tool, to reduce the wafer intra-field printing defects caused by narrow Litho PW.
晶圆场内工艺(印刷)由于不同工艺环节造成的缺陷。窄光刻工艺窗口(Litho PW)受剂量和焦点(由FEM -焦点曝光矩阵校准)的影响,是引起晶圆场内工艺缺陷的主要原因之一。Litho PW可以通过控制晶圆内场的剂量参数来扩展。剂量参数影响临界尺寸均匀性。控制晶圆场内CDU将扩大光刻PW,并将减少工艺(印刷)缺陷。在集成电路制造中,扩展193nm光刻技术的使用,结合工艺控制(特别是晶圆级CDU控制)的设计收缩规则,是极其重要和具有挑战性的任务。本工作将展示蔡司CDC应用(CD Control)及其对场内CDU,光刻PW和工艺缺陷概率的显著积极影响,以及对晶圆FAB集成流程的介绍。它还将挑战一些现有的工艺参数规范,并将解释为什么尽管规格中有所有单独的工艺参数,但IC制造失败却会成为现实。每个单独参数的规格限制并不一定保证成功的工艺,因为几乎不可能预测和验证不同参数之间所有可能的相互依赖性。因此,目标是展示如何通过缩小其单个参数分布来改进集成电路工艺,即使这些参数的可变性是在规范中。这项工作将提供名为“偏移预防”的解决方案-利用蔡司CDC工具改善晶圆场内CDU,以减少由于狭窄的光刻PW而导致的晶圆场内印刷缺陷。
{"title":"Reduce probability of wafer intra-field process (printing) defects for logic and DRAM applications","authors":"Yael Sufrin, Avi Cohen, Ofir Sharoni, R. Seltmann","doi":"10.1117/12.2535686","DOIUrl":"https://doi.org/10.1117/12.2535686","url":null,"abstract":"Wafer Intra-Field Process (Printing) Defects created due to various process segments. Narrow Lithography process window (Litho PW), effected by Dose & Focus (calibrated by FEM – Focus Exposure Matrix), is one of the major contributors for the wafer intra-filed process defects caused by hot spots. The Litho PW can be expanded by controlling the Dose parameters over the wafer intra-field. Dose parameters effect the Critical Dimension Uniformity (CDU). Controlling the wafer intra-field CDU will expand the Litho PW and will reduce the process (printing) defects. The extension of 193nm based lithography usage combined with design shrinkage rules for process control (in particular the wafer level CDU control), are extremely important and challenging task in IC manufacturing. This work will show the ZEISS CDC application (CD Control) and its significant positive effect on the intra-field CDU, Litho PW, and process defects probability, as well as introduction for wafer FAB integration flow. It will also challenge some existing process parameters specifications and will explain why IC manufacturing failures come real although all individual process parameters in spec. Specification limits for each individual parameter do not necessarily guarantee a successful process, as it’s almost impossible to anticipate and verify all possible interdependencies among different parameters. The goal is therefore, to show how to improve IC process by shrinking its individual parameters distributions, even if the variability of those parameters is in specification. This work will offer solution named as “Excursion Prevention” - Improve wafer intra-field CDU by using the ZEISS CDC tool, to reduce the wafer intra-field printing defects caused by narrow Litho PW.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"8 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131567364","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
The potential of EUV lithography 极紫外光刻技术的潜力
Pub Date : 2019-08-29 DOI: 10.1117/12.2528446
H. Levinson
Lithographers are currently unable to generate quality patterning at tight pitches with values of k1 that are as low as have been achieved routinely using ArF immersion patterning, a situation that is largely due to the continuing pursuit of resists with low exposure doses. As a consequence, multiple patterning may be required to scale to a second node with EUV lithography, which reduces its cost-effectiveness, even if each individual exposure is done with a low exposure dose. Because of process control limitations, such multiple patterning may necessarily be triple or quadruple patterning, rather than double patterning. Processes with reduced line-edge roughness (LER) could be applied to front-end layers, increasing the value of EUV lithography. High-NA EUV lithography is in development, with a number of technical issues requiring solution, but with no apparent show-stoppers.
光刻工目前无法在紧距下生成高质量的图案,其k1值与常规使用ArF浸入式图案一样低,这种情况主要是由于持续追求低暴露剂量的抗蚀剂。因此,使用EUV光刻技术时,可能需要将多个图案扩展到第二个节点,这降低了其成本效益,即使每个单独的曝光都是以低暴露剂量完成的。由于工艺控制的限制,这种多重图案可能必然是三重或四倍图案,而不是双重图案。降低线边缘粗糙度(LER)的工艺可以应用于前端层,提高了EUV光刻的价值。高na极紫外光刻技术正在发展中,有许多技术问题需要解决,但没有明显的阻碍。
{"title":"The potential of EUV lithography","authors":"H. Levinson","doi":"10.1117/12.2528446","DOIUrl":"https://doi.org/10.1117/12.2528446","url":null,"abstract":"Lithographers are currently unable to generate quality patterning at tight pitches with values of k1 that are as low as have been achieved routinely using ArF immersion patterning, a situation that is largely due to the continuing pursuit of resists with low exposure doses. As a consequence, multiple patterning may be required to scale to a second node with EUV lithography, which reduces its cost-effectiveness, even if each individual exposure is done with a low exposure dose. Because of process control limitations, such multiple patterning may necessarily be triple or quadruple patterning, rather than double patterning. Processes with reduced line-edge roughness (LER) could be applied to front-end layers, increasing the value of EUV lithography. High-NA EUV lithography is in development, with a number of technical issues requiring solution, but with no apparent show-stoppers.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"40 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123360789","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Alternative mask materials for low-k1 EUV imaging 低k1 EUV成像的替代掩模材料
Pub Date : 2019-08-29 DOI: 10.1117/12.2535682
F. Timmermans, C. van Lare, J. Finders
EUV lithography is being used at relatively high-k1 Rayleigh factors. Advancing EUV to smaller resolution requires several technological advancements. The EUV reticle is a strong contributor that limits current EUV imaging performance. Improvements with advanced mask types are required to reduce mask 3D effects and to improve image contrast. This will enable low-k1 resolution with reduced stochastic defect rates. In this paper we discuss what the requirements of high-k absorber masks and attenuated phase shift masks are to achieve optimal imaging performance. Recommendations on the mask stack composition and the application of mask types to different use cases are based on the physical understanding of the mask diffraction spectrum.
EUV光刻技术在相对高的k1瑞利系数下使用。将EUV推进到更小的分辨率需要几个技术进步。EUV光柱是限制当前EUV成像性能的强大贡献者。需要改进高级掩模类型,以减少掩模3D效果并提高图像对比度。这将在降低随机缺陷率的情况下实现低k1分辨率。本文讨论了高k吸收掩模和衰减相移掩模对实现最佳成像性能的要求。关于掩模堆栈组成的建议和掩模类型在不同用例中的应用是基于对掩模衍射谱的物理理解。
{"title":"Alternative mask materials for low-k1 EUV imaging","authors":"F. Timmermans, C. van Lare, J. Finders","doi":"10.1117/12.2535682","DOIUrl":"https://doi.org/10.1117/12.2535682","url":null,"abstract":"EUV lithography is being used at relatively high-k1 Rayleigh factors. Advancing EUV to smaller resolution requires several technological advancements. The EUV reticle is a strong contributor that limits current EUV imaging performance. Improvements with advanced mask types are required to reduce mask 3D effects and to improve image contrast. This will enable low-k1 resolution with reduced stochastic defect rates. In this paper we discuss what the requirements of high-k absorber masks and attenuated phase shift masks are to achieve optimal imaging performance. Recommendations on the mask stack composition and the application of mask types to different use cases are based on the physical understanding of the mask diffraction spectrum.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"137 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115781690","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Detection and mitigation of furnace anneal induced distortions at the wafer edge 在晶圆片边缘检测和减轻炉内退火引起的变形
Pub Date : 2019-08-29 DOI: 10.1117/12.2535636
Leon van Dijk, A. Charley, M. Stokhof, Ronald Otten, S. Van Elshocht, Bert Jongbloed, P. Leray, Richard J. F. van Haren
Every advance in technology node challenges the semiconductor industry to achieve even tighter on-product overlay (OPO) requirements. With the latest immersion scanners performing well below the sub-2-nm overlay level, the OPO budget is more and more determined by non-lithography contributors. Achieving the tight overlay specifications in a high-volume manufacturing environment is therefore far from trivial, especially in the wafer edge region where processing is even less well controlled. For example, Reactive Ion Etch (RIE), the deposition of stressed thin films and the presence of significant intra-field (or intra-die) stress distributions are all known to cause localized distortions in the wafer edge region. Annealing steps during integrated circuit manufacturing are another source of wafer deformation. Furnace anneal is one particular type of annealing step. During furnace anneal processing, many wafers are heated-up simultaneously and wafers stay at elevated temperatures for a fixed time on the order of minutes to hours. Although in general, furnace anneal does not cause significant wafer deformations, local distortions are sometimes observed in the wafer edge region by using standard boats at higher anneal temperatures. In this work, we have setup a controlled experiment to characterize the local distortions that can be induced by furnace anneal processes. To this end, wafers are processed with various furnace anneal settings, i.e. temperature and ramp rate, and two different boat types are used. The induced distortions are accurately and densely measured on an NXT:1970Ci scanner using its SMASH alignment system. We will see that, depending on the process conditions and boat type, local distortions occur at the wafer edge. The locations of these distortions coincide with the wafer support positions of the boat and therefore they are also referred to as boat marks. Several solution directions for mitigating furnace anneal induced distortions will be discussed. A very effective solution is the employment of an optimized boat design that, depending on the process conditions, can prevent the localized distortions at elevated temperatures. It would therefore be beneficial to have a detection system in place that can detect and consequently trigger actions to mitigate furnace anneal induced distortions during the development phase of anneal processing steps. We will demonstrate that the scanner can be used as such a detection system as its inline metrology is able to detect signatures related to the boat marks.
技术节点的每一次进步都对半导体行业提出了挑战,以实现更严格的产品上覆盖(OPO)要求。随着最新的浸入式扫描仪性能远低于2纳米覆盖层,OPO预算越来越多地由非光刻贡献者决定。因此,在大批量制造环境中实现严格的覆盖规格远非微不足道,特别是在加工控制更差的晶圆边缘区域。例如,反应离子蚀刻(RIE),应力薄膜的沉积和显著的场内(或模具内)应力分布的存在都是已知的导致晶圆边缘区域局部变形的原因。集成电路制造过程中的退火步骤是晶圆变形的另一个来源。炉内退火是一种特殊的退火步骤。在炉内退火过程中,许多晶圆片同时被加热,晶圆片在一段固定的时间内保持在高温下,大约几分钟到几小时。虽然在一般情况下,炉内退火不会造成明显的晶圆变形,但在较高的退火温度下,使用标准板有时会在晶圆边缘区域观察到局部变形。在这项工作中,我们建立了一个控制实验来表征炉内退火过程可能引起的局部变形。为此,晶圆片在不同的炉内退火设置下加工,即温度和斜坡速率,并使用两种不同的船型。在NXT:1970Ci扫描仪上使用其SMASH对准系统精确而密集地测量了诱导畸变。我们将看到,根据工艺条件和船型,在晶圆边缘会发生局部扭曲。这些扭曲的位置与船的晶圆支撑位置一致,因此它们也被称为船标。讨论了减轻炉内退火引起的变形的几个解决方向。一个非常有效的解决方案是采用优化的船设计,根据工艺条件,可以防止高温下的局部变形。因此,在退火加工步骤的开发阶段,有一个检测系统可以检测并触发相应的行动,以减轻炉内退火引起的变形,这将是有益的。我们将证明,扫描仪可以用作这样一个检测系统,因为它的在线计量能够检测到与船的标志相关的签名。
{"title":"Detection and mitigation of furnace anneal induced distortions at the wafer edge","authors":"Leon van Dijk, A. Charley, M. Stokhof, Ronald Otten, S. Van Elshocht, Bert Jongbloed, P. Leray, Richard J. F. van Haren","doi":"10.1117/12.2535636","DOIUrl":"https://doi.org/10.1117/12.2535636","url":null,"abstract":"Every advance in technology node challenges the semiconductor industry to achieve even tighter on-product overlay (OPO) requirements. With the latest immersion scanners performing well below the sub-2-nm overlay level, the OPO budget is more and more determined by non-lithography contributors. Achieving the tight overlay specifications in a high-volume manufacturing environment is therefore far from trivial, especially in the wafer edge region where processing is even less well controlled. For example, Reactive Ion Etch (RIE), the deposition of stressed thin films and the presence of significant intra-field (or intra-die) stress distributions are all known to cause localized distortions in the wafer edge region. Annealing steps during integrated circuit manufacturing are another source of wafer deformation. Furnace anneal is one particular type of annealing step. During furnace anneal processing, many wafers are heated-up simultaneously and wafers stay at elevated temperatures for a fixed time on the order of minutes to hours. Although in general, furnace anneal does not cause significant wafer deformations, local distortions are sometimes observed in the wafer edge region by using standard boats at higher anneal temperatures. In this work, we have setup a controlled experiment to characterize the local distortions that can be induced by furnace anneal processes. To this end, wafers are processed with various furnace anneal settings, i.e. temperature and ramp rate, and two different boat types are used. The induced distortions are accurately and densely measured on an NXT:1970Ci scanner using its SMASH alignment system. We will see that, depending on the process conditions and boat type, local distortions occur at the wafer edge. The locations of these distortions coincide with the wafer support positions of the boat and therefore they are also referred to as boat marks. Several solution directions for mitigating furnace anneal induced distortions will be discussed. A very effective solution is the employment of an optimized boat design that, depending on the process conditions, can prevent the localized distortions at elevated temperatures. It would therefore be beneficial to have a detection system in place that can detect and consequently trigger actions to mitigate furnace anneal induced distortions during the development phase of anneal processing steps. We will demonstrate that the scanner can be used as such a detection system as its inline metrology is able to detect signatures related to the boat marks.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"43 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132785356","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
European Mask and Lithography Conference
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1