首页 > 最新文献

Micro and Nano Engineering最新文献

英文 中文
Low-frequency electromagnetic harvester for wind turbine vibrations 用于风力涡轮机振动的低频电磁采集器
IF 2.8 Q2 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2024-09-13 DOI: 10.1016/j.mne.2024.100287

In this paper we describe and fully characterize a novel vibration harvester intended to harness energy from the vibration of a wind turbine (WT), to potentially supply power to sensing nodes oriented to structural health monitoring (SHM). The harvester is based on electromagnetic conversion (EM) and can work with vibrations of ultra-low frequencies in any direction of a plane. The harvester bases on a first prototype already disclosed by the authors, but in this paper, we develop an accurate model parameterized by a combination of physical parameters and others related to the geometry of the device. The model allows predicting not only the power generation capabilities, but also the kinematic behaviour of the harvester. Model parameters are estimated by an identification procedure and validated experimentally. Last, the harvester is tested in real conditions on a wind turbine.

在本文中,我们介绍了一种新型振动收集器,并对其进行了全面鉴定,该振动收集器旨在利用风力涡轮机(WT)的振动能量,为结构健康监测(SHM)传感节点供电。该振动收集器基于电磁转换(EM)技术,可以处理平面任何方向的超低频率振动。该收割机基于作者已公开的第一个原型,但在本文中,我们开发了一个精确的模型,该模型由物理参数和与设备几何形状相关的其他参数组合而成。该模型不仅能预测收割机的发电能力,还能预测其运动特性。模型参数通过识别程序进行估算,并通过实验进行验证。最后,在风力涡轮机上对收割机进行了实际测试。
{"title":"Low-frequency electromagnetic harvester for wind turbine vibrations","authors":"","doi":"10.1016/j.mne.2024.100287","DOIUrl":"10.1016/j.mne.2024.100287","url":null,"abstract":"<div><p>In this paper we describe and fully characterize a novel vibration harvester intended to harness energy from the vibration of a wind turbine (WT), to potentially supply power to sensing nodes oriented to structural health monitoring (SHM). The harvester is based on electromagnetic conversion (EM) and can work with vibrations of ultra-low frequencies in any direction of a plane. The harvester bases on a first prototype already disclosed by the authors, but in this paper, we develop an accurate model parameterized by a combination of physical parameters and others related to the geometry of the device. The model allows predicting not only the power generation capabilities, but also the kinematic behaviour of the harvester. Model parameters are estimated by an identification procedure and validated experimentally. Last, the harvester is tested in real conditions on a wind turbine.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-09-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000509/pdfft?md5=2b7b2a9954f6e29b0ae7cf9707532bc9&pid=1-s2.0-S2590007224000509-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142232865","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
From ghost to state-of-the-art process corrections – PEC enabled e-beam nanofabrication 从 "幽灵 "到最先进的工艺修正--PEC 电子束纳米制造技术
IF 2.8 Q2 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2024-09-11 DOI: 10.1016/j.mne.2024.100286
{"title":"From ghost to state-of-the-art process corrections – PEC enabled e-beam nanofabrication","authors":"","doi":"10.1016/j.mne.2024.100286","DOIUrl":"10.1016/j.mne.2024.100286","url":null,"abstract":"","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-09-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000492/pdfft?md5=f62ae4e676dd49bc44a517f03b915f2a&pid=1-s2.0-S2590007224000492-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142229886","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Single cell separation in microplates through micro patterning of “clickable” hydrogels 通过 "可点击 "水凝胶的微图案化实现微孔板中的单细胞分离
IF 2.8 Q2 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2024-09-03 DOI: 10.1016/j.mne.2024.100285

In this study, we report a novel approach for separating microspheres or cells on microstructured surfaces. These structures consist of μ-structured hydrogel coatings fabricated by photolithography on the bottoms of standard plastic microplate wells. The process is based on the deposition and subsequent irradiation of copolymers containing a hydrophilic main component and benzophenone moieties that can react with C, H groups during UV exposure through a photomask, a process known as “C,H insertion crosslinking” (CHic). The photolithographic process is used to generate an egg-box-like topography of the coating. Gravity, Brownian motion, and physical surface interactions drive particles or cells pipetted onto the surfaces to distinct locations on this topography so that after a short time these locations contain only one single particles or cells. We show that the presented technique enables the separation of thousands of objects as different as polymer microparticles or biological cells by simply adding a suspension to the coated wells of the microplate and wait for a short time (a few minutes). This strategy is quite general and not specific to a certain type of cell or microparticle and thus allow effortless separation of particles or cells.

在这项研究中,我们报告了一种在微结构表面分离微球或细胞的新方法。这些结构包括通过光刻技术在标准塑料微孔板底部制作的 μ 结构水凝胶涂层。该工艺基于共聚物的沉积和后续辐照,共聚物含有亲水性主成分和二苯甲酮分子,二苯甲酮分子在紫外线照射下可通过光掩模与 C、H 基团发生反应,这一过程被称为 "C、H 插入交联"(CHic)。光刻工艺用于生成蛋盒状的涂层形貌。重力、布朗运动和物理表面相互作用会将移液到表面上的颗粒或细胞驱赶到地形上的不同位置,从而在短时间后,这些位置只包含一个颗粒或细胞。我们的研究表明,只需在微孔板的涂层孔中加入悬浮液并等待很短的时间(几分钟),所提出的技术就能分离成千上万个不同的物体,如聚合物微颗粒或生物细胞。这种策略非常通用,并不针对特定类型的细胞或微颗粒,因此可以毫不费力地分离颗粒或细胞。
{"title":"Single cell separation in microplates through micro patterning of “clickable” hydrogels","authors":"","doi":"10.1016/j.mne.2024.100285","DOIUrl":"10.1016/j.mne.2024.100285","url":null,"abstract":"<div><p>In this study, we report a novel approach for separating microspheres or cells on microstructured surfaces. These structures consist of μ-structured hydrogel coatings fabricated by photolithography on the bottoms of standard plastic microplate wells. The process is based on the deposition and subsequent irradiation of copolymers containing a hydrophilic main component and benzophenone moieties that can react with C, H groups during UV exposure through a photomask, a process known as “C,H insertion crosslinking” (CHic). The photolithographic process is used to generate an egg-box-like topography of the coating. Gravity, Brownian motion, and physical surface interactions drive particles or cells pipetted onto the surfaces to distinct locations on this topography so that after a short time these locations contain only one single particles or cells. We show that the presented technique enables the separation of thousands of objects as different as polymer microparticles or biological cells by simply adding a suspension to the coated wells of the microplate and wait for a short time (a few minutes). This strategy is quite general and not specific to a certain type of cell or microparticle and thus allow effortless separation of particles or cells.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-09-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000480/pdfft?md5=82c83607116a2e2bcbb15cedee173954&pid=1-s2.0-S2590007224000480-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142163459","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Removable support beams to improve the printing outcome of 2-photon-polymerized structures 可移动支撑梁改善双光子聚合结构的印刷效果
IF 2.8 Q2 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2024-09-01 DOI: 10.1016/j.mne.2024.100283

Using direct-laser writing, 3D microstructures of almost every shape can be fabricated. However, using liquid photoresists, the fabrication of free-floating structures is still challenging. To give stability to those structures during the printing process, support beams can be implemented which are usually not needed for direct-laser writing. With that, free-floating elements can be fixed and are not distorted due to unwanted movement during fabrication. In this work, the design, realization and characterization of support beams for the printing outcome of 2-photon polymerization processes is examined. The support beams described here connect the static and the rotating part of flap-like structures. Experimental results show that two thin cone-shaped support beams are sufficient to stabilize the flaps (typical size 20×50μm2) so that they are not distorted during printing. After finishing the writing process, the support beams can be broken with a gentle nitrogen stream and the structures move freely. Structures like these can for example be implemented in microfluidic channels to work as flow direction pointers or self-closing cell traps.

利用直接激光写入技术,几乎可以制造出各种形状的三维微结构。然而,使用液态光刻胶制造自由浮动结构仍然具有挑战性。为了使这些结构在打印过程中保持稳定,可以使用支撑梁,而直接激光写入通常不需要支撑梁。这样,自由浮动元件就能被固定,不会在制造过程中因不必要的移动而变形。在这项工作中,我们研究了用于双光子聚合工艺打印结果的支撑梁的设计、实现和特性。这里描述的支撑梁连接了瓣状结构的静态和旋转部分。实验结果表明,两根细锥形支撑梁足以稳定瓣状结构(典型尺寸为 20×50μm2),使其在打印过程中不会变形。在完成书写过程后,可以用温和的氮气流冲开支撑梁,使结构自由移动。这样的结构可以在微流体通道中用作流动方向指示器或自闭细胞捕获器。
{"title":"Removable support beams to improve the printing outcome of 2-photon-polymerized structures","authors":"","doi":"10.1016/j.mne.2024.100283","DOIUrl":"10.1016/j.mne.2024.100283","url":null,"abstract":"<div><p>Using direct-laser writing, 3D microstructures of almost every shape can be fabricated. However, using liquid photoresists, the fabrication of free-floating structures is still challenging. To give stability to those structures during the printing process, support beams can be implemented which are usually not needed for direct-laser writing. With that, free-floating elements can be fixed and are not distorted due to unwanted movement during fabrication. In this work, the design, realization and characterization of support beams for the printing outcome of 2-photon polymerization processes is examined. The support beams described here connect the static and the rotating part of flap-like structures. Experimental results show that two thin cone-shaped support beams are sufficient to stabilize the flaps (typical size <span><math><mn>20</mn><mo>×</mo><mn>50</mn><mspace></mspace><mi>μ</mi><msup><mi>m</mi><mn>2</mn></msup></math></span>) so that they are not distorted during printing. After finishing the writing process, the support beams can be broken with a gentle nitrogen stream and the structures move freely. Structures like these can for example be implemented in microfluidic channels to work as flow direction pointers or self-closing cell traps.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000467/pdfft?md5=3ace89fb3eb57c8c72b28de638ded657&pid=1-s2.0-S2590007224000467-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142130226","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Evaluation of highly sensitive vibration states of nanomechanical resonators in liquid using a convolutional neural network 利用卷积神经网络评估液体中纳米机械谐振器的高灵敏度振动状态
IF 2.8 Q2 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2024-09-01 DOI: 10.1016/j.mne.2024.100282

Nanomechanical resonators can detect various small physical quantities with high sensitivity using changes in resonant properties. However, viscous damping in liquids significantly reduces the measurement sensitivity. This study proposes convolutional neural network (CNN) vibration spectrum analysis to evaluate the highly sensitive vibration states of nanomechanical resonators, which are useful for in-liquid measurements. This research was carried out through the measurement of acetone concentration. First, we compared the concentration classification ability between the proposed and conventional methods and determined that the proposed method of analyzing vibration spectral changes using the CNN model can provide higher measurement sensitivity than the conventional measurement method of observing resonance properties changes and comparing the values for each measurement condition. This result shows that CNN-based spectral analysis is effective for the vibration spectra of in-liquid measurements. Next, gradient-weighted class activation mapping (Grad-CAM) was applied to verify which frequency bands are important for concentration classification in CNN model decision-making. The vibration states in these frequency bands were analyzed in terms of oscillation modes. This analysis revealed significant oscillation modes of the nanomechanical resonator in the liquid environment. Notably, in addition to the resonance states utilized in the conventional method, several other oscillation modes were found to be significant for measurements. This finding suggests that these oscillation modes may be highly sensitive for measurements in liquid environments. Among these oscillation modes, the mode with very small amplitude is highly promising for achieving unprecedented levels of sensitivity in sensing technologies.

纳米机械谐振器可以利用谐振特性的变化,高灵敏度地探测各种微小物理量。然而,液体中的粘性阻尼会大大降低测量灵敏度。本研究提出了卷积神经网络(CNN)振动谱分析来评估纳米机械谐振器的高灵敏度振动状态,这对液体测量非常有用。本研究通过丙酮浓度测量进行。首先,我们比较了拟议方法和传统方法的浓度分类能力,结果表明,与观察共振特性变化并比较各种测量条件下的数值的传统测量方法相比,拟议的使用 CNN 模型分析振动频谱变化的方法能提供更高的测量灵敏度。这一结果表明,基于 CNN 的频谱分析对于液内测量的振动频谱非常有效。接着,应用梯度加权类激活映射(Grad-CAM)来验证 CNN 模型决策中哪些频段对浓度分类很重要。从振荡模式的角度分析了这些频段的振动状态。该分析揭示了纳米机械谐振器在液体环境中的重要振荡模式。值得注意的是,除了传统方法中使用的共振状态外,还发现其他几种振荡模式对测量也很重要。这一发现表明,这些振荡模式可能对液体环境中的测量高度敏感。在这些振荡模式中,振幅极小的模式很有希望在传感技术中实现前所未有的灵敏度。
{"title":"Evaluation of highly sensitive vibration states of nanomechanical resonators in liquid using a convolutional neural network","authors":"","doi":"10.1016/j.mne.2024.100282","DOIUrl":"10.1016/j.mne.2024.100282","url":null,"abstract":"<div><p>Nanomechanical resonators can detect various small physical quantities with high sensitivity using changes in resonant properties. However, viscous damping in liquids significantly reduces the measurement sensitivity. This study proposes convolutional neural network (CNN) vibration spectrum analysis to evaluate the highly sensitive vibration states of nanomechanical resonators, which are useful for in-liquid measurements. This research was carried out through the measurement of acetone concentration. First, we compared the concentration classification ability between the proposed and conventional methods and determined that the proposed method of analyzing vibration spectral changes using the CNN model can provide higher measurement sensitivity than the conventional measurement method of observing resonance properties changes and comparing the values for each measurement condition. This result shows that CNN-based spectral analysis is effective for the vibration spectra of in-liquid measurements. Next, gradient-weighted class activation mapping (Grad-CAM) was applied to verify which frequency bands are important for concentration classification in CNN model decision-making. The vibration states in these frequency bands were analyzed in terms of oscillation modes. This analysis revealed significant oscillation modes of the nanomechanical resonator in the liquid environment. Notably, in addition to the resonance states utilized in the conventional method, several other oscillation modes were found to be significant for measurements. This finding suggests that these oscillation modes may be highly sensitive for measurements in liquid environments. Among these oscillation modes, the mode with very small amplitude is highly promising for achieving unprecedented levels of sensitivity in sensing technologies.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000455/pdfft?md5=eb60cf33681506de331c155fcd8f695b&pid=1-s2.0-S2590007224000455-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142151745","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Dry etch performance of Novolak-based negative e-beam resist 基于 Novolak 的电子束负型抗蚀剂的干蚀刻性能
IF 2.8 Q2 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2024-08-30 DOI: 10.1016/j.mne.2024.100284

Electron beam lithography (EBL) is pivotal for micro- and nanoscale fabrication, offering sub-micron precision. This study explores the utilization of the Novolac-based negative resist AR-N 7520 for EBL and its potential as an etch mask for reactive ion etching (RIE) of silicon. Recent comparisons of negative EBL resists have revealed promising results for AR-N 7520 in terms of resolution and adaptability with other lithography techniques. In this article, we conduct an exploration of patterning of AR-N 7520 (new) for EBL, addressing key parameters in achieving optimal patterning fidelity. Furthermore, we investigate its compatibility with RIE processes, aiming to provide insights into its effectiveness as an etch mask for creating sub-micron silicon structures. Experimental results show that optimal e-beam dose with 100 kV exposure is 300–350 μC/cm2. Selectivity of around 9:1 can be achieved by optimizing etching parameters for a continuous etch and higher than 14:1 for a cyclic etch process.

电子束光刻(EBL)是微米和纳米级制造的关键,可提供亚微米精度。本研究探讨了基于 Novolac 的负型光刻胶 AR-N 7520 在 EBL 中的应用及其作为反应离子蚀刻(RIE)硅的蚀刻掩模的潜力。最近对 EBL 负性抗蚀剂进行的比较显示,AR-N 7520 在分辨率和与其他光刻技术的适应性方面都取得了可喜的成果。在本文中,我们探讨了 AR-N 7520(新)在 EBL 中的图案化,解决了实现最佳图案化保真度的关键参数问题。此外,我们还研究了 AR-N 7520 与 RIE 工艺的兼容性,旨在深入了解其作为蚀刻掩模在创建亚微米硅结构方面的有效性。实验结果表明,100 kV 曝光的最佳电子束剂量为 300-350 μC/cm2。通过优化连续蚀刻的蚀刻参数,可实现约 9:1 的选择性,而循环蚀刻工艺的选择性可高于 14:1。
{"title":"Dry etch performance of Novolak-based negative e-beam resist","authors":"","doi":"10.1016/j.mne.2024.100284","DOIUrl":"10.1016/j.mne.2024.100284","url":null,"abstract":"<div><p>Electron beam lithography (EBL) is pivotal for micro- and nanoscale fabrication, offering sub-micron precision. This study explores the utilization of the Novolac-based negative resist AR-N 7520 for EBL and its potential as an etch mask for reactive ion etching (RIE) of silicon. Recent comparisons of negative EBL resists have revealed promising results for AR-N 7520 in terms of resolution and adaptability with other lithography techniques. In this article, we conduct an exploration of patterning of AR-N 7520 (new) for EBL, addressing key parameters in achieving optimal patterning fidelity. Furthermore, we investigate its compatibility with RIE processes, aiming to provide insights into its effectiveness as an etch mask for creating sub-micron silicon structures. Experimental results show that optimal e-beam dose with 100 kV exposure is 300–350 μC/cm<sup>2</sup>. Selectivity of around 9:1 can be achieved by optimizing etching parameters for a continuous etch and higher than 14:1 for a cyclic etch process.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-08-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000479/pdfft?md5=1916c605ea23c988a5ccc6c279b435e4&pid=1-s2.0-S2590007224000479-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142158141","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Lithographic resists as amazing compact imaging systems – A review 作为惊人的紧凑型成像系统的光刻胶 - 综述
IF 2.8 Q2 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2024-08-14 DOI: 10.1016/j.mne.2024.100280

Considering the goal of lithography under its most general aspect – that is, transferring and recording mask or template information in the form of contrast between the imaged and non-imaged areas of a resist film coated on a flat surface – three lithographic resist imaging mechanisms can be recognized. Depending on the nature of the resist film, this contrast may be based on intrinsic or photo- or radiation-induced differential solubility between the imaged and non-imaged part of the resist film in fine art lithography, photolithography, and radiation lithography, respectively, or pressure driven flow and confinement of resist in imprint lithography, or thermodynamically driven phase separation of resist constituents in directed self-assembly lithography. This contrast forms the basis of the printed image and ultimately derives from the forces that underlie the old chemist's rule: “Oil and water do not mix.” Crucially, to create this contrast, the resist film must transform a two-dimensional image of the mask or template into a three-dimensional relief image on the substrate in a process that is highly non-linear. By creating the contrast in this manner, the resist film serves as a compact imaging system that senses, records, stores, and displays the mask image. Additionally, the resist film must maintain its structural and mechanical integrity to “resist” and withstand the harshness of other post-imaging processes such as etching, ion implantation, electroplating, etc. Following all necessary post-imaging processes, the resist film must be stripped or be left and incorporated into the final device. A versatile material that serves a multiplicity of functions and is operational in many dimensions is not only amazing but also forms the irreducible essence of lithography. By drawing on fundamental, theoretical, and experimental studies of molecular processes involved in lithographic resist imaging, this review paper explains how the resist film performs the above essential functions.

光刻技术最一般的目标是在涂在平面上的抗蚀剂薄膜的成像区和非成像区之间以对比的形式传递和记录掩膜或模板信息,考虑到这一点,我们可以认识到三种光刻抗蚀剂成像机制。根据光刻胶膜的性质,这种反差可能是基于光刻胶膜成像部分和非成像部分之间的内在或光诱导或辐射诱导的溶解度差异,分别用于美术平版印刷、光刻和辐射平版印刷;或者是压印平版印刷中由压力驱动的光刻胶流动和封闭;或者是定向自组装平版印刷中由热力学驱动的光刻胶成分相分离。这种对比构成了印刷图像的基础,并最终源于老化学家 "油水不相溶 "法则的基础力量。最重要的是,为了产生这种对比度,抗蚀剂薄膜必须在一个高度非线性的过程中将掩膜或模板的二维图像转化为基底上的三维浮雕图像。通过这种方式产生反差,抗蚀膜就成了一个紧凑的成像系统,可以感测、记录、存储和显示掩膜图像。此外,抗蚀膜必须保持其结构和机械完整性,以 "抵抗 "和承受其他成像后工序(如蚀刻、离子注入、电镀等)的苛刻条件。在完成所有必要的成像后工序后,抗蚀剂薄膜必须剥离或留在最终设备中。一种具有多种功能、可在多个维度上操作的多功能材料不仅令人惊叹,而且还构成了光刻技术不可复制的精髓。通过对光刻抗蚀剂成像所涉及的分子过程进行基础、理论和实验研究,本文阐述了抗蚀剂薄膜是如何实现上述基本功能的。
{"title":"Lithographic resists as amazing compact imaging systems – A review","authors":"","doi":"10.1016/j.mne.2024.100280","DOIUrl":"10.1016/j.mne.2024.100280","url":null,"abstract":"<div><p>Considering the goal of lithography under its most general aspect – that is, transferring and recording mask or template information in the form of contrast between the imaged and non-imaged areas of a resist film coated on a flat surface – three lithographic resist imaging mechanisms can be recognized. Depending on the nature of the resist film, this contrast may be based on intrinsic or photo- or radiation-induced differential solubility between the imaged and non-imaged part of the resist film in fine art lithography, photolithography, and radiation lithography, respectively, or pressure driven flow and confinement of resist in imprint lithography, or thermodynamically driven phase separation of resist constituents in directed self-assembly lithography. This contrast forms the basis of the printed image and ultimately derives from the forces that underlie the old chemist's rule: “Oil and water do not mix.” Crucially, to create this contrast, the resist film must transform a two-dimensional image of the mask or template into a three-dimensional relief image on the substrate in a process that is highly non-linear. By creating the contrast in this manner, the resist film serves as a compact imaging system that senses, records, stores, and displays the mask image. Additionally, the resist film must maintain its structural and mechanical integrity to “resist” and withstand the harshness of other post-imaging processes such as etching, ion implantation, electroplating, etc. Following all necessary post-imaging processes, the resist film must be stripped or be left and incorporated into the final device. A versatile material that serves a multiplicity of functions and is operational in many dimensions is not only amazing but also forms the irreducible essence of lithography. By drawing on fundamental, theoretical, and experimental studies of molecular processes involved in lithographic resist imaging, this review paper explains how the resist film performs the above essential functions.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-08-14","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000431/pdfft?md5=64a88eb83a6bf8e1b3f71c8570b31d75&pid=1-s2.0-S2590007224000431-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141992928","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
No-code method for lithography template cell parameterization for faster design cycles 无代码光刻模板单元参数化方法,加快设计周期
IF 2.8 Q2 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2024-08-10 DOI: 10.1016/j.mne.2024.100281

This work presents a Python-based architecture packaged as a standalone tool, to enable the parameterization of lithography structures without the need for scripting. By feeding a lithography template file obtained from an existing layout editor into the tool, a ‘scaffold’ shape is created and recognised. The tool allows for the parameterization of created geometries and the establishment of parameterized rules between geometric features, which can be conveniently modified in tabular format. This work facilitates no-code procedural generation of geometrically distinct instances, significantly reducing the time required for complex lithography template development compared to traditional scripting methods.

这项研究提出了一种基于 Python 的架构,并将其打包为独立工具,无需编写脚本即可实现光刻结构的参数化。通过将从现有布局编辑器获取的光刻模板文件输入该工具,可创建并识别 "脚手架 "形状。该工具允许对创建的几何图形进行参数化,并建立几何特征之间的参数化规则,这些规则可以方便地以表格格式进行修改。与传统的脚本编写方法相比,这项工作有助于无代码程序化地生成几何形状不同的实例,从而大大减少了复杂光刻模板开发所需的时间。
{"title":"No-code method for lithography template cell parameterization for faster design cycles","authors":"","doi":"10.1016/j.mne.2024.100281","DOIUrl":"10.1016/j.mne.2024.100281","url":null,"abstract":"<div><p>This work presents a Python-based architecture packaged as a standalone tool, to enable the parameterization of lithography structures without the need for scripting. By feeding a lithography template file obtained from an existing layout editor into the tool, a ‘scaffold’ shape is created and recognised. The tool allows for the parameterization of created geometries and the establishment of parameterized rules between geometric features, which can be conveniently modified in tabular format. This work facilitates no-code procedural generation of geometrically distinct instances, significantly reducing the time required for complex lithography template development compared to traditional scripting methods.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-08-10","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000443/pdfft?md5=b849aebcd1e12eaf2f43bad6dfa2cea8&pid=1-s2.0-S2590007224000443-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141979005","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Ag-deposited nanostructured Boehmite substrates for the detection of explosives with surface enhanced Raman spectroscopy 利用表面增强拉曼光谱检测爆炸物的银沉积纳米结构勃姆石衬底
IF 2.8 Q2 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2024-08-04 DOI: 10.1016/j.mne.2024.100279

We propose aluminum oxide (Boehmite) sputter-deposited with Ag substrates for Surface-Enhanced Raman Spectroscopy (SERS). These substrates are cost-effective and easily fabricated by heating aluminum in an aqueous environment to create Boehmite, followed by Ag sputtering. The metal deposition is optimized, resulting in random arrays of Ag nanostructures with a diameter of ∼100 nm and a spacing of <100 nm leading to significant enhancement of the Raman signal. The performance and sensitivity of the substrates are initially tested with the use of Crystal Violet analyte which results in limits of detection close to 10−10M. These substrates are used for the rapid detection of four different explosive compounds: Nitroglycerin (NG), Picric Acid (PA), Cyclotrimethylene trinitramine (RDX) and 2,4,6-Trinitrophenylmethylnitramine (Tetryl). A series of Raman spectra are collected for these four selected explosives on the fabricated substrates and principal component analysis (PCA) was used for proper evaluation and identification of the corresponding measured spectra.

我们提出了用于表面增强拉曼光谱(SERS)的银溅射沉积氧化铝(Boehmite)基底。通过在水环境中加热铝以生成玻镁石,然后再进行银溅射,这些基底既经济又易于制造。金属沉积经过优化,形成了直径为 100 nm、间距为 100 nm 的银纳米结构随机阵列,从而显著增强了拉曼信号。使用水晶紫分析物对基底的性能和灵敏度进行了初步测试,其检测限接近 10-10M。这些基底用于快速检测四种不同的爆炸性化合物:硝化甘油 (NG)、苦味酸 (PA)、环三亚甲基三硝胺 (RDX) 和 2,4,6-三硝基苯甲基三硝胺 (Tetryl)。在制作的基底上收集了这四种选定爆炸物的一系列拉曼光谱,并使用主成分分析法(PCA)对相应的测量光谱进行了适当的评估和鉴定。
{"title":"Ag-deposited nanostructured Boehmite substrates for the detection of explosives with surface enhanced Raman spectroscopy","authors":"","doi":"10.1016/j.mne.2024.100279","DOIUrl":"10.1016/j.mne.2024.100279","url":null,"abstract":"<div><p>We propose aluminum oxide (Boehmite) sputter-deposited with Ag substrates for Surface-Enhanced Raman Spectroscopy (SERS). These substrates are cost-effective and easily fabricated by heating aluminum in an aqueous environment to create Boehmite, followed by Ag sputtering. The metal deposition is optimized, resulting in random arrays of Ag nanostructures with a diameter of ∼100 nm and a spacing of &lt;100 nm leading to significant enhancement of the Raman signal. The performance and sensitivity of the substrates are initially tested with the use of Crystal Violet analyte which results in limits of detection close to 10<sup>−10</sup>M. These substrates are used for the rapid detection of four different explosive compounds: Nitroglycerin (NG), Picric Acid (PA), Cyclotrimethylene trinitramine (RDX) and 2,4,6-Trinitrophenylmethylnitramine (Tetryl). A series of Raman spectra are collected for these four selected explosives on the fabricated substrates and principal component analysis (PCA) was used for proper evaluation and identification of the corresponding measured spectra.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-08-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S259000722400042X/pdfft?md5=de10afe563b5ce1f74f89ab83ce3319b&pid=1-s2.0-S259000722400042X-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141962774","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Quantifying pore spatial uniformity: Application on membranes before and after plasma etching 量化孔隙空间均匀性:等离子刻蚀前后在膜上的应用
IF 2.8 Q2 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2024-07-31 DOI: 10.1016/j.mne.2024.100278

Membranes play a critical role in diverse applications, including filtration and tissue engineering. The importance of membrane performance optimization highlights the necessity of accurately characterizing the pore structure. Traditional Pore Size Distribution methodologies are widely used to quantify size uniformity. Uniformity though, integrates both size and spatial pore structure aspects, thus necessitating the synergy of complementary techniques to analyze pore structure. This work empowers classic pore metrology with stochastic geometry, specifically the Nearest Neighbour Index (NNI) to assess the spatial uniformity of pores in membrane Scanning Electron Microscopy (SEM) images. Through a comprehensive analysis of Polytetrafluoroethylene (PTFE) before and after plasma etching, along with nanofilament coated Polyethersulfone (PES) membranes, this analysis enhances our understanding of membrane morphology through pore structure and pore spatial arrangement. The findings indicate that increasing magnification leads to a decrease in apparent spatial uniformity, indicative of effects regarding the inclusion in analysis of families of finer pores. In almost all cases, NNI values show higher uniformity compared to a fully random scenario. Additionally, it is found that plasma etching does not have significant effects on spatial uniformity introducing only a slight uniformity in pore centroid arrangement, reflected in a small NNI increase. Furthermore, a pore area shuffling technique reveals the effects of pore density and size on spatial uniformity, highlighting patterns inherent to the materials under study.

膜在过滤和组织工程等各种应用中发挥着至关重要的作用。膜性能优化的重要性凸显了准确表征孔结构的必要性。传统的孔径分布方法被广泛用于量化孔径均匀性。然而,均匀性综合了尺寸和空间孔隙结构两个方面,因此需要互补技术的协同作用来分析孔隙结构。这项研究利用随机几何,特别是近邻指数(NNI)来评估膜扫描电子显微镜(SEM)图像中孔隙的空间均匀性。通过对等离子蚀刻前后的聚四氟乙烯(PTFE)以及纳米纤丝涂层聚醚砜(PES)膜进行综合分析,该分析通过孔结构和孔空间排列增强了我们对膜形态的理解。研究结果表明,放大倍数的增加会导致明显的空间均匀性下降,这表明在分析中纳入更细小的孔系列会产生影响。与完全随机的情况相比,几乎在所有情况下,NNI 值都显示出更高的均匀性。此外,研究还发现等离子体蚀刻对空间均匀性的影响并不显著,只是在孔隙中心点排列上引入了轻微的均匀性,这反映在 NNI 的小幅增加上。此外,孔隙区域洗牌技术揭示了孔隙密度和大小对空间均匀性的影响,突出了所研究材料的固有模式。
{"title":"Quantifying pore spatial uniformity: Application on membranes before and after plasma etching","authors":"","doi":"10.1016/j.mne.2024.100278","DOIUrl":"10.1016/j.mne.2024.100278","url":null,"abstract":"<div><p>Membranes play a critical role in diverse applications, including filtration and tissue engineering. The importance of membrane performance optimization highlights the necessity of accurately characterizing the pore structure. Traditional Pore Size Distribution methodologies are widely used to quantify size uniformity. Uniformity though, integrates both size and spatial pore structure aspects, thus necessitating the synergy of complementary techniques to analyze pore structure. This work empowers classic pore metrology with stochastic geometry, specifically the Nearest Neighbour Index (NNI) to assess the spatial uniformity of pores in membrane Scanning Electron Microscopy (SEM) images. Through a comprehensive analysis of Polytetrafluoroethylene (PTFE) before and after plasma etching, along with nanofilament coated Polyethersulfone (PES) membranes, this analysis enhances our understanding of membrane morphology through pore structure and pore spatial arrangement. The findings indicate that increasing magnification leads to a decrease in apparent spatial uniformity, indicative of effects regarding the inclusion in analysis of families of finer pores. In almost all cases, NNI values show higher uniformity compared to a fully random scenario. Additionally, it is found that plasma etching does not have significant effects on spatial uniformity introducing only a slight uniformity in pore centroid arrangement, reflected in a small NNI increase. Furthermore, a pore area shuffling technique reveals the effects of pore density and size on spatial uniformity, highlighting patterns inherent to the materials under study.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-07-31","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000418/pdfft?md5=88a2d1b5f4316afeeaf425b5362a4e4c&pid=1-s2.0-S2590007224000418-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141962533","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
Micro and Nano Engineering
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1