首页 > 最新文献

2005 6th International Conference on ASIC最新文献

英文 中文
RTL satisfiability solving using an ATPG based approach 基于ATPG的RTL满意度求解方法
Pub Date : 2005-10-24 DOI: 10.1109/ICASIC.2005.1611475
Min-Chuan Chen, Weimin Wu, Jinian Bian
We present a special approach to solving satisfiability problem in RTL (register transfer level) circuit, which contains both Boolean logics and word-level arithmetics. In our approach, an ATPG (automatic test pattern generation) based satisfiability solver is implemented on RTL netlist model. As expert tool for circuits, our ATPG engine employs fast constraint propagation, where all the signals are treated as integers. For the undecided signals, we render a depth-first search. The huge search space is cut down by some heuristics. Experimental results on ITC benchmarks demonstrate the feasibility and efficiency of our techniques.
提出了一种解决RTL(寄存器传输级)电路中可满足性问题的特殊方法,该方法包含布尔逻辑和字级算法。在我们的方法中,在RTL网络列表模型上实现了一个基于ATPG(自动测试模式生成)的可满足性求解器。作为电路的专家工具,我们的ATPG引擎采用快速约束传播,其中所有信号都被视为整数。对于未确定的信号,我们进行深度优先搜索。巨大的搜索空间被一些启发式算法所削减。在ITC基准上的实验结果证明了我们的技术的可行性和有效性。
{"title":"RTL satisfiability solving using an ATPG based approach","authors":"Min-Chuan Chen, Weimin Wu, Jinian Bian","doi":"10.1109/ICASIC.2005.1611475","DOIUrl":"https://doi.org/10.1109/ICASIC.2005.1611475","url":null,"abstract":"We present a special approach to solving satisfiability problem in RTL (register transfer level) circuit, which contains both Boolean logics and word-level arithmetics. In our approach, an ATPG (automatic test pattern generation) based satisfiability solver is implemented on RTL netlist model. As expert tool for circuits, our ATPG engine employs fast constraint propagation, where all the signals are treated as integers. For the undecided signals, we render a depth-first search. The huge search space is cut down by some heuristics. Experimental results on ITC benchmarks demonstrate the feasibility and efficiency of our techniques.","PeriodicalId":431034,"journal":{"name":"2005 6th International Conference on ASIC","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2005-10-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123178736","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Datapath verification with SystemC reference model 使用SystemC参考模型进行数据路径验证
Pub Date : 2005-10-24 DOI: 10.1109/ICASIC.2005.1611474
Dongjun Lou, J.S. Yuan, Daguang Li, C. Jacobs
Bit-level hardware description language (HDL), such as Verilog or VHDL, has its interior problems to describe complex math formulas in register transaction level (RTL). Its Boolean solutions lead to the necessity of complex controls for math operations, and often result in poor performance for datapath verification. Instead of solving the problem at the bit-level, a method of SystemC reference model (SCRM) is proposed to aid conjunctions of bitvector manipulations in RTL into arithmetic number operations in SystemC, which helps to verify the datapath design automatically. The application experience of SCRM in our digital still camera SoC shows that it is much more efficient and thorough to verify the datapath with the assistance of cycle accurate SystemC models realtimely, than previously manual verification
位级硬件描述语言(HDL),如Verilog或VHDL,在描述寄存器事务级(RTL)的复杂数学公式时存在其内部问题。它的布尔解导致需要对数学运算进行复杂的控制,并且经常导致数据路径验证的性能不佳。本文提出了一种基于SystemC参考模型(SCRM)的方法,将RTL中的位向量操作合并为SystemC中的算术运算,从而实现了对数据路径设计的自动验证。SCRM在我们的数码相机SoC中的应用经验表明,与以往的人工验证相比,借助周期精确的SystemC模型实时验证数据路径更加高效和彻底
{"title":"Datapath verification with SystemC reference model","authors":"Dongjun Lou, J.S. Yuan, Daguang Li, C. Jacobs","doi":"10.1109/ICASIC.2005.1611474","DOIUrl":"https://doi.org/10.1109/ICASIC.2005.1611474","url":null,"abstract":"Bit-level hardware description language (HDL), such as Verilog or VHDL, has its interior problems to describe complex math formulas in register transaction level (RTL). Its Boolean solutions lead to the necessity of complex controls for math operations, and often result in poor performance for datapath verification. Instead of solving the problem at the bit-level, a method of SystemC reference model (SCRM) is proposed to aid conjunctions of bitvector manipulations in RTL into arithmetic number operations in SystemC, which helps to verify the datapath design automatically. The application experience of SCRM in our digital still camera SoC shows that it is much more efficient and thorough to verify the datapath with the assistance of cycle accurate SystemC models realtimely, than previously manual verification","PeriodicalId":431034,"journal":{"name":"2005 6th International Conference on ASIC","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2005-10-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123273360","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
A novel asynchronous multiple function multiply-accumulator 一种新型异步多函数乘法累加器
Pub Date : 2005-10-24 DOI: 10.1109/ICASIC.2005.1611253
Jian Gao, Jing Chen
The paper describes a 16-bit high-speed and low-power multiply-accumulate unit (MAC) designed for DSP processor. The extreme power reduction derives from the asynchronous interlocked pipeline technique MAC adopts. And the speed is greatly increased by introducing the complemented partial product word correction (CP-PWC) algorithm and 3D reduction method (TDM) in the partial product generation and reduction. MAC shows low power dissipation and high speed and the DSP processor embedded with MAC has been implemented in 0.18 CMOS technology.
介绍了一种用于DSP处理器的16位高速低功耗乘加单元(MAC)。MAC采用的异步联锁管道技术极大地降低了功耗。在部分积的生成和约简中引入了互补的部分积词校正算法(CP-PWC)和三维约简方法(TDM),大大提高了速度。MAC具有低功耗、高速度的特点,嵌入式MAC的DSP处理器采用0.18 CMOS技术实现。
{"title":"A novel asynchronous multiple function multiply-accumulator","authors":"Jian Gao, Jing Chen","doi":"10.1109/ICASIC.2005.1611253","DOIUrl":"https://doi.org/10.1109/ICASIC.2005.1611253","url":null,"abstract":"The paper describes a 16-bit high-speed and low-power multiply-accumulate unit (MAC) designed for DSP processor. The extreme power reduction derives from the asynchronous interlocked pipeline technique MAC adopts. And the speed is greatly increased by introducing the complemented partial product word correction (CP-PWC) algorithm and 3D reduction method (TDM) in the partial product generation and reduction. MAC shows low power dissipation and high speed and the DSP processor embedded with MAC has been implemented in 0.18 CMOS technology.","PeriodicalId":431034,"journal":{"name":"2005 6th International Conference on ASIC","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2005-10-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123069983","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
To improve the voice quality over IP using channel coding 利用信道编码提高IP上的语音质量
Pub Date : 2005-10-24 DOI: 10.1109/ICASIC.2005.1611278
R. Agrawal, N. Gupta
Voice over Internet protocol (VoIP) is the transmission of voice over networks using the internet protocol. IP networks have become increasingly popular in the past few years, due to the exponential growth of the public internet leading the way in to the IP world. Long distance calls, especially international subscriber dialing (ISD), can be made significantly less expensive when supported by an IP network rather than by the PSTN. Any call made is supported by VoIP technology and it involves the transmission of many individual packets over an IP network. Thus the cost of VoIP calls in part depends on the number and size of packets that must be transmitted. So voice (source) compression technology is used to reduce the amount of bandwidth required in order to reduce cost and to reduce the delay impact from network. But, compression techniques increase the network impairments also. When packets are transmitted through network they are affected by impairments, like packet drop and end-to-end delay. The main agenda of VoIP service providers is to provide good quality of service (QoS). The objective of this research work done is to minimize, the error introduced in channel due to the above mentioned network impairments and hence improve the quality of sound, and also analyze the voice quality with and without use of channel coding scheme. There are two measuring techniques, subjective and objective. In subjective method we measure the mean opinion score (MOS) and use matching algorithms in objective methods to measure the difference between decoded outputs of source coding system and joint source-channel coding system. In this work, the objective method is used and it is observed that the performance of the system improves significantly
VoIP (Voice over Internet protocol)是一种利用Internet协议在网络上进行语音传输的技术。在过去的几年里,由于公共互联网的指数级增长引领了IP世界的发展,IP网络变得越来越流行。长途电话,特别是国际用户拨号(ISD),如果由IP网络而不是PSTN支持,可以大大降低成本。任何呼叫都由VoIP技术支持,它涉及在IP网络上传输许多单独的数据包。因此,VoIP通话的费用部分取决于必须传输的数据包的数量和大小。因此,语音(源)压缩技术被用于减少所需的带宽,以降低成本和减少网络的延迟影响。但是,压缩技术也增加了网络损害。当数据包通过网络传输时,它们会受到损伤的影响,比如数据包丢失和端到端延迟。VoIP服务提供商的主要议程是提供良好的服务质量(QoS)。本研究工作的目的是尽量减少由于上述网络缺陷导致的信道误差,从而提高声音质量,并分析使用信道编码方案和不使用信道编码方案的语音质量。有两种测量技术,主观和客观。在主观方法中,我们测量平均意见分数(MOS),在客观方法中,我们使用匹配算法来测量源编码系统和源信道联合编码系统的解码输出之间的差异。在这项工作中,采用了客观方法,观察到系统的性能有了明显的提高
{"title":"To improve the voice quality over IP using channel coding","authors":"R. Agrawal, N. Gupta","doi":"10.1109/ICASIC.2005.1611278","DOIUrl":"https://doi.org/10.1109/ICASIC.2005.1611278","url":null,"abstract":"Voice over Internet protocol (VoIP) is the transmission of voice over networks using the internet protocol. IP networks have become increasingly popular in the past few years, due to the exponential growth of the public internet leading the way in to the IP world. Long distance calls, especially international subscriber dialing (ISD), can be made significantly less expensive when supported by an IP network rather than by the PSTN. Any call made is supported by VoIP technology and it involves the transmission of many individual packets over an IP network. Thus the cost of VoIP calls in part depends on the number and size of packets that must be transmitted. So voice (source) compression technology is used to reduce the amount of bandwidth required in order to reduce cost and to reduce the delay impact from network. But, compression techniques increase the network impairments also. When packets are transmitted through network they are affected by impairments, like packet drop and end-to-end delay. The main agenda of VoIP service providers is to provide good quality of service (QoS). The objective of this research work done is to minimize, the error introduced in channel due to the above mentioned network impairments and hence improve the quality of sound, and also analyze the voice quality with and without use of channel coding scheme. There are two measuring techniques, subjective and objective. In subjective method we measure the mean opinion score (MOS) and use matching algorithms in objective methods to measure the difference between decoded outputs of source coding system and joint source-channel coding system. In this work, the objective method is used and it is observed that the performance of the system improves significantly","PeriodicalId":431034,"journal":{"name":"2005 6th International Conference on ASIC","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2005-10-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125817094","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 40dB, 100MHz CMOS IF variable gain amplifier for DVB-C receivers 一个40dB, 100MHz CMOS中频可变增益放大器用于DVB-C接收器
Pub Date : 2005-10-24 DOI: 10.1109/ICASIC.2005.1611362
Ting-Hua Yun, Li Yin, S. Tang, Jianhui Wu
A CMOS IF VGA used for DVB-C receivers is presented in this paper. The VGA core is based on current-steering structure, and several optimization methods are used to improve the linearity and stability. A novel CMOS exponential voltage generator is designed to realize the linear-in-dB control characteristic. The simulation results based on 0.25 mum CMOS process indicate that the VGA can provide 40dB gain control range, the IIP3 at the minimum gain setting 17.2 dBm, and the minimum NF 7.8 dB. The overall current dissipation is less than 23 mA at 3.3V supply voltage
本文介绍了一种用于DVB-C接收机的CMOS中频VGA电路。VGA核心基于电流转向结构,采用了多种优化方法来提高其线性度和稳定性。设计了一种新型的CMOS指数电压发生器,实现了其在db中的线性控制特性。基于0.25 μ m CMOS工艺的仿真结果表明,VGA可提供40dB增益控制范围,IIP3最小增益设置为17.2 dBm,最小NF为7.8 dB。在3.3V电源电压下,整体电流损耗小于23ma
{"title":"A 40dB, 100MHz CMOS IF variable gain amplifier for DVB-C receivers","authors":"Ting-Hua Yun, Li Yin, S. Tang, Jianhui Wu","doi":"10.1109/ICASIC.2005.1611362","DOIUrl":"https://doi.org/10.1109/ICASIC.2005.1611362","url":null,"abstract":"A CMOS IF VGA used for DVB-C receivers is presented in this paper. The VGA core is based on current-steering structure, and several optimization methods are used to improve the linearity and stability. A novel CMOS exponential voltage generator is designed to realize the linear-in-dB control characteristic. The simulation results based on 0.25 mum CMOS process indicate that the VGA can provide 40dB gain control range, the IIP3 at the minimum gain setting 17.2 dBm, and the minimum NF 7.8 dB. The overall current dissipation is less than 23 mA at 3.3V supply voltage","PeriodicalId":431034,"journal":{"name":"2005 6th International Conference on ASIC","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2005-10-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124988324","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
VLSI architectures of domain adaptive fuzzy logic system 领域自适应模糊逻辑系统的VLSI结构
Pub Date : 2005-10-24 DOI: 10.1109/ICASIC.2005.1611314
Zhang Xun, Wang Peng, Jin Dong-ming
A novel domain adaptive fuzzy logic controller is presented in this paper, which adds a domain adaptive structure on the architecture of an original fuzzy logic controller. It saves the hardware consumption, and accelerates the system convergence. The domain mapping fuzzy logic controller is successfully applied to control the inverted pendulum on a hardware test-bed. The theory and optimization of the method are also discussed. According to this strategy, a circuit can generate domain mapping gene is realized by CSMC 0.6mum mixed-signal technology. This approach provides a valuable theory basement to the implement of self-adaptive fuzzy controller hardware chip
提出了一种新的域自适应模糊控制器,该控制器在原有模糊控制器的基础上增加了域自适应结构。节省了硬件消耗,加快了系统的收敛速度。在硬件实验台上,成功地将域映射模糊控制器应用于倒立摆的控制。并对该方法的原理和优化进行了讨论。根据这一策略,采用CSMC 0.6mum混合信号技术实现了能产生区域映射基因的电路。该方法为自适应模糊控制器硬件芯片的实现提供了有价值的理论基础
{"title":"VLSI architectures of domain adaptive fuzzy logic system","authors":"Zhang Xun, Wang Peng, Jin Dong-ming","doi":"10.1109/ICASIC.2005.1611314","DOIUrl":"https://doi.org/10.1109/ICASIC.2005.1611314","url":null,"abstract":"A novel domain adaptive fuzzy logic controller is presented in this paper, which adds a domain adaptive structure on the architecture of an original fuzzy logic controller. It saves the hardware consumption, and accelerates the system convergence. The domain mapping fuzzy logic controller is successfully applied to control the inverted pendulum on a hardware test-bed. The theory and optimization of the method are also discussed. According to this strategy, a circuit can generate domain mapping gene is realized by CSMC 0.6mum mixed-signal technology. This approach provides a valuable theory basement to the implement of self-adaptive fuzzy controller hardware chip","PeriodicalId":431034,"journal":{"name":"2005 6th International Conference on ASIC","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2005-10-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121478481","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Interconnect delay optimization using a novel hybrid insertion strategy 基于新型混合插入策略的互连延迟优化
Pub Date : 2005-10-24 DOI: 10.1109/ICASIC.2005.1611441
Xiangyuan Liu, Shuming Chen
Interconnection techniques play an important role in the growth of semiconductor industry into future generations. A novel hybrid insertion strategy based on repeaters and low-swing differential-signaling circuits for global interconnect is presented in this paper. It takes advantage of those circuits on driving long wires in different length, and optimally inserts them along the wires. Simulation results using HSPICE for 0.18mum process show that delay, energy, energy-delay-product (EDP) and area are considerably decreased compared with other strategies available. Moreover, it is very suitable for integration in an EDA tool flow and helpful to the reuse of low-swing differential-signaling circuits
互连技术对半导体产业的发展起着至关重要的作用。提出了一种基于中继器和低摆幅差分信号电路的全球互连混合插入策略。它利用这些电路驱动不同长度的长导线,并沿着导线最佳地插入。利用HSPICE对0.18mum过程的仿真结果表明,与现有的其他策略相比,延迟、能量、能量延迟积(EDP)和面积都大大降低。此外,它非常适合集成在EDA工具流中,并有助于低摆幅差分信号电路的重用
{"title":"Interconnect delay optimization using a novel hybrid insertion strategy","authors":"Xiangyuan Liu, Shuming Chen","doi":"10.1109/ICASIC.2005.1611441","DOIUrl":"https://doi.org/10.1109/ICASIC.2005.1611441","url":null,"abstract":"Interconnection techniques play an important role in the growth of semiconductor industry into future generations. A novel hybrid insertion strategy based on repeaters and low-swing differential-signaling circuits for global interconnect is presented in this paper. It takes advantage of those circuits on driving long wires in different length, and optimally inserts them along the wires. Simulation results using HSPICE for 0.18mum process show that delay, energy, energy-delay-product (EDP) and area are considerably decreased compared with other strategies available. Moreover, it is very suitable for integration in an EDA tool flow and helpful to the reuse of low-swing differential-signaling circuits","PeriodicalId":431034,"journal":{"name":"2005 6th International Conference on ASIC","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2005-10-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125121252","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
An optimization of VLSI architecture for DFE used in Ethernet 用于以太网DFE的VLSI架构优化
Pub Date : 2005-10-24 DOI: 10.1109/ICASIC.2005.1611261
Wang Xuejing, Ye Fan, R. Junyan
An optimum design of decision feedback equalizer (DFE) used in Ethernet is presented. This paper proposes two improving measures for physical implementation - the hybrid form and the coefficient updating unit sharing. According to the results of synthesis using SMIC, 0.18/spl mu/m CMOS process, the speed, area and power consumption of the improved DFE is optimized by 16%, 36% and 39% compared with the transposed form implementation.
提出了一种用于以太网的决策反馈均衡器的优化设计方法。本文提出了物理实现的两种改进措施——混合形式和系数更新单元共享。根据采用中芯0.18/spl μ m CMOS工艺合成的结果,改进后的DFE与转置形式实现相比,速度、面积和功耗分别优化了16%、36%和39%。
{"title":"An optimization of VLSI architecture for DFE used in Ethernet","authors":"Wang Xuejing, Ye Fan, R. Junyan","doi":"10.1109/ICASIC.2005.1611261","DOIUrl":"https://doi.org/10.1109/ICASIC.2005.1611261","url":null,"abstract":"An optimum design of decision feedback equalizer (DFE) used in Ethernet is presented. This paper proposes two improving measures for physical implementation - the hybrid form and the coefficient updating unit sharing. According to the results of synthesis using SMIC, 0.18/spl mu/m CMOS process, the speed, area and power consumption of the improved DFE is optimized by 16%, 36% and 39% compared with the transposed form implementation.","PeriodicalId":431034,"journal":{"name":"2005 6th International Conference on ASIC","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2005-10-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114021455","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
New methods of FPGA co-verification for system on chip (SoC) 面向片上系统(SoC)的FPGA协同验证新方法
Pub Date : 2005-10-24 DOI: 10.1109/ICASIC.2005.1611289
Lin Yi-fan, Zeng Xiao-yang, Wu Min, Chen Jun, Bao Rencheng
With the rapid development on the software-hardware co-verification of SoC, FPGA verification has become more and more critical for VLSI design, and it requires much more portion of time within the life circle of chip development. The time spent on the FPGA verification should be reduced to achieve a more efficient time-to-market for the IC product. Therefore, several strategies using both dynamic and static methods to execute this verification are proposed in this paper. By using a variety of techniques such as software static breakpoint monitoring and interrupt vectors remapping, the software verification is accelerated. A bus analyzer is adopted to provide real-time bus monitoring with a vivid evaluation of the system performance. In this paper, experiments show that above methods have greatly enhanced the efficiency and speed of the FPGA co-verification process
随着SoC软硬件协同验证技术的快速发展,FPGA验证在超大规模集成电路设计中变得越来越重要,并且在芯片开发生命周期中所占的时间越来越多。应该减少花在FPGA验证上的时间,以实现更有效的IC产品上市时间。因此,本文提出了几种使用动态和静态方法来执行此验证的策略。通过采用软件静态断点监测和中断向量重映射等技术,加快了软件验证的速度。采用总线分析仪提供实时总线监控,并对系统性能进行生动的评价。实验表明,上述方法大大提高了FPGA协同验证过程的效率和速度
{"title":"New methods of FPGA co-verification for system on chip (SoC)","authors":"Lin Yi-fan, Zeng Xiao-yang, Wu Min, Chen Jun, Bao Rencheng","doi":"10.1109/ICASIC.2005.1611289","DOIUrl":"https://doi.org/10.1109/ICASIC.2005.1611289","url":null,"abstract":"With the rapid development on the software-hardware co-verification of SoC, FPGA verification has become more and more critical for VLSI design, and it requires much more portion of time within the life circle of chip development. The time spent on the FPGA verification should be reduced to achieve a more efficient time-to-market for the IC product. Therefore, several strategies using both dynamic and static methods to execute this verification are proposed in this paper. By using a variety of techniques such as software static breakpoint monitoring and interrupt vectors remapping, the software verification is accelerated. A bus analyzer is adopted to provide real-time bus monitoring with a vivid evaluation of the system performance. In this paper, experiments show that above methods have greatly enhanced the efficiency and speed of the FPGA co-verification process","PeriodicalId":431034,"journal":{"name":"2005 6th International Conference on ASIC","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2005-10-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131587608","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A P1500-compliant wrapper and TAM controller co-design scheme 一个符合p1500标准的包装器和TAM控制器协同设计方案
Pub Date : 2005-10-24 DOI: 10.1109/ICASIC.2005.1611419
Wu Chao, Wang Hong, Y. Shi-yuan
IEEE P1500 is a standard under development which intends to improve ease of test reuse and test integration with respect to the core-based SoCs. This paper proposes a P1500-compliant wrapper and TAM controller design scheme. Area overhead and power consumption are taken into account in our scheme. Some experiment results based on a sample SoC are reported, showing the effectiveness of the proposed approach in terms of area overhead
IEEE P1500是一个正在开发中的标准,旨在提高基于核心的soc的测试重用和测试集成的便利性。本文提出了一种符合p1500标准的包装器和TAM控制器的设计方案。在我们的方案中考虑了面积开销和功耗。基于SoC样本的一些实验结果表明,该方法在面积开销方面是有效的
{"title":"A P1500-compliant wrapper and TAM controller co-design scheme","authors":"Wu Chao, Wang Hong, Y. Shi-yuan","doi":"10.1109/ICASIC.2005.1611419","DOIUrl":"https://doi.org/10.1109/ICASIC.2005.1611419","url":null,"abstract":"IEEE P1500 is a standard under development which intends to improve ease of test reuse and test integration with respect to the core-based SoCs. This paper proposes a P1500-compliant wrapper and TAM controller design scheme. Area overhead and power consumption are taken into account in our scheme. Some experiment results based on a sample SoC are reported, showing the effectiveness of the proposed approach in terms of area overhead","PeriodicalId":431034,"journal":{"name":"2005 6th International Conference on ASIC","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2005-10-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131610793","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
2005 6th International Conference on ASIC
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1