首页 > 最新文献

2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)最新文献

英文 中文
Orchestrator: A low-cost solution to reduce voltage emergencies for multi-threaded applications Orchestrator:一个低成本的解决方案,用于减少多线程应用程序的电压紧急情况
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.056
Xing Hu, Guihai Yan, Yu Hu, Xiaowei Li
Voltage emergencies have become a major challenge to multi-core processors because core-to-core resonance may put all cores into danger which jeopardizes system reliability. We observed that the applications following SPMD (Single Program and Multiple Data) programming model tend to spark domain-wide voltage resonance because multiple threads sharing the same function body exhibit similar power activity. When threads are judiciously relocated among the cores, the voltage droops can be greatly reduced. We propose “Orchestrator”, a sensor-free non-intrusive scheme for multi-core architectures to smooth the voltage droops. Orchestrator focuses on the inter-core voltage interactions, and maximally leverages the thread diversity to avoid voltage droops synergy among cores. Experimental results show that Orchestrator can reduce up to 64% voltage emergencies on average, meanwhile improving performance.
电压突发事件已成为多核处理器面临的主要挑战,因为核对核共振可能使所有核处于危险状态,从而影响系统的可靠性。我们观察到,遵循SPMD(单程序和多数据)编程模型的应用程序往往会引发域范围内的电压共振,因为共享相同函数体的多个线程表现出相似的功率活动。当线程明智地在内核之间重新定位时,电压下降可以大大减少。我们提出了“协调器”,一种无传感器的非侵入式多核架构方案,以平滑电压下降。Orchestrator专注于内核之间的电压交互,并最大限度地利用线程多样性来避免内核之间的电压下降协同作用。实验结果表明,Orchestrator平均可减少高达64%的电压突发事件,同时提高了性能。
{"title":"Orchestrator: A low-cost solution to reduce voltage emergencies for multi-threaded applications","authors":"Xing Hu, Guihai Yan, Yu Hu, Xiaowei Li","doi":"10.7873/DATE.2013.056","DOIUrl":"https://doi.org/10.7873/DATE.2013.056","url":null,"abstract":"Voltage emergencies have become a major challenge to multi-core processors because core-to-core resonance may put all cores into danger which jeopardizes system reliability. We observed that the applications following SPMD (Single Program and Multiple Data) programming model tend to spark domain-wide voltage resonance because multiple threads sharing the same function body exhibit similar power activity. When threads are judiciously relocated among the cores, the voltage droops can be greatly reduced. We propose “Orchestrator”, a sensor-free non-intrusive scheme for multi-core architectures to smooth the voltage droops. Orchestrator focuses on the inter-core voltage interactions, and maximally leverages the thread diversity to avoid voltage droops synergy among cores. Experimental results show that Orchestrator can reduce up to 64% voltage emergencies on average, meanwhile improving performance.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86653379","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Exploring resource mapping policies for dynamic clustering on NoC-based MPSoCs 探索基于noc的mpsoc动态聚类的资源映射策略
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.147
Gustavo Girão, Thiago Santini, F. Wagner
The dramatic increase in the number of processors, memories and other components in the same chip calls for resource-aware mechanisms to improve performance. This paper proposes four different resource mapping policies for NoC-based MPSoCs that leverage on distinct aspects of the parallel nature of the applications and on architecture constraints, such as off-chip memory latency. Results show that the use of these policies can improve performance up to 22.5% in average, and, in some cases, depending on the parallel programming model of each application, the improvement may reach up to 32%.
同一芯片中处理器、内存和其他组件数量的急剧增加需要资源感知机制来提高性能。本文为基于noc的mpsoc提出了四种不同的资源映射策略,这些策略利用了应用程序并行特性的不同方面和架构约束,例如片外内存延迟。结果表明,使用这些策略平均可以将性能提高22.5%,在某些情况下,根据每个应用程序的并行编程模型,性能的提高可能高达32%。
{"title":"Exploring resource mapping policies for dynamic clustering on NoC-based MPSoCs","authors":"Gustavo Girão, Thiago Santini, F. Wagner","doi":"10.7873/DATE.2013.147","DOIUrl":"https://doi.org/10.7873/DATE.2013.147","url":null,"abstract":"The dramatic increase in the number of processors, memories and other components in the same chip calls for resource-aware mechanisms to improve performance. This paper proposes four different resource mapping policies for NoC-based MPSoCs that leverage on distinct aspects of the parallel nature of the applications and on architecture constraints, such as off-chip memory latency. Results show that the use of these policies can improve performance up to 22.5% in average, and, in some cases, depending on the parallel programming model of each application, the improvement may reach up to 32%.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86340845","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Pipelets: Self-organizing software Pipelines for many-core architectures Pipelets:多核心架构的自组织软件管道
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.308
J. Jahn, J. Henkel
We present the novel concept of Pipelets: self-organizing stages of software pipelines that monitor their computational demands and communication patterns and interact to optimize the performance of the application they belong to. They enable dynamic task remapping and exploit application-specific properties. Our experiments show that they improve performance by up to 31.2% compared to state-of-the-art when resource demands of applications alter at runtime as is the case for many complex applications.
我们提出了Pipelets的新概念:软件管道的自组织阶段,监控其计算需求和通信模式,并进行交互以优化其所属应用程序的性能。它们支持动态任务重新映射并利用特定于应用程序的属性。我们的实验表明,当应用程序的资源需求在运行时发生变化时(许多复杂的应用程序都是如此),它们的性能比最先进的性能提高了31.2%。
{"title":"Pipelets: Self-organizing software Pipelines for many-core architectures","authors":"J. Jahn, J. Henkel","doi":"10.7873/DATE.2013.308","DOIUrl":"https://doi.org/10.7873/DATE.2013.308","url":null,"abstract":"We present the novel concept of Pipelets: self-organizing stages of software pipelines that monitor their computational demands and communication patterns and interact to optimize the performance of the application they belong to. They enable dynamic task remapping and exploit application-specific properties. Our experiments show that they improve performance by up to 31.2% compared to state-of-the-art when resource demands of applications alter at runtime as is the case for many complex applications.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88286694","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Runtime verification of nonlinear analog circuits using incremental Time-augmented RRT algorithm 非线性模拟电路运行时验证使用增量时间增强RRT算法
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.019
S. Ahmadyan, Jayanand Asok Kumar, Shobha Vasudevan
Because of complexity of analog circuits, their verification presents many challenges. We propose a runtime verification algorithm to verify design properties of nonlinear analog circuits. Our algorithm is based on performing exploratory simulations in the state-time space using the Time-augmented Rapidly Exploring Random Tree (TRRT) algorithm. The proposed runtime verification methodology consists of i) incremental construction of the TRRT to explore the state-time space and ii) use of an incremental online monitoring algorithm to check whether or not the incremented TRRT satisfies or violates specification properties at each iteration. In comparison to the Monte Carlo simulations, for providing the same state-space coverage, we utilize a logarithmic order of memory and time.
由于模拟电路的复杂性,其验证提出了许多挑战。我们提出了一种运行时验证算法来验证非线性模拟电路的设计特性。我们的算法基于使用时间增强快速探索随机树(TRRT)算法在状态时间空间中进行探索性模拟。提出的运行时验证方法包括i)增量构造TRRT以探索状态-时间空间和ii)使用增量在线监控算法来检查增量TRRT在每次迭代中是否满足或违反规范属性。与蒙特卡罗模拟相比,为了提供相同的状态空间覆盖,我们利用了对数级的内存和时间。
{"title":"Runtime verification of nonlinear analog circuits using incremental Time-augmented RRT algorithm","authors":"S. Ahmadyan, Jayanand Asok Kumar, Shobha Vasudevan","doi":"10.7873/DATE.2013.019","DOIUrl":"https://doi.org/10.7873/DATE.2013.019","url":null,"abstract":"Because of complexity of analog circuits, their verification presents many challenges. We propose a runtime verification algorithm to verify design properties of nonlinear analog circuits. Our algorithm is based on performing exploratory simulations in the state-time space using the Time-augmented Rapidly Exploring Random Tree (TRRT) algorithm. The proposed runtime verification methodology consists of i) incremental construction of the TRRT to explore the state-time space and ii) use of an incremental online monitoring algorithm to check whether or not the incremented TRRT satisfies or violates specification properties at each iteration. In comparison to the Monte Carlo simulations, for providing the same state-space coverage, we utilize a logarithmic order of memory and time.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83457029","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Mitigating dark-silicon problems using superlattice-based thermoelectric coolers 使用基于超晶格的热电冷却器减轻暗硅问题
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.284
Francesco Paterna, S. Reda
Dark silicon is an emerging problem in multi-core processors, where it is not possible to enable all cores simultaneously because of either insufficient parallelism in software applications or because of high-spatial power densities that generate hot-spot constraints. Superlattice-based thermoelectric cooling (TEC) is a promising technology that offers large heat pumping capability and the ability to target hot spots of each core independently. In this paper, we devise novel system-level methods that address the two main sources of dark silicon using superlattice TECs. Our methods leverage the TECs in conjunction with dynamic voltage and frequency scaling and number of threads to maximize the performance of multi-core processor under thermal and power constraints. Using an experimental setup based on a quad-core processor, we provide an evaluation of the trade-offs among performance, temperature and power consumption arising from the use of superlattice-based TECs. Our results demonstrate the potential of this emerging cooling technology in mitigating dark silicon problems and in improving the performance of multi-core processors.
暗硅是多核处理器中出现的一个新问题,由于软件应用程序的并行性不足,或者由于高空间功率密度产生热点限制,因此不可能同时启用所有内核。基于超晶格的热电冷却(TEC)是一种很有前途的技术,它提供了大的热泵能力和独立瞄准每个核心热点的能力。在本文中,我们设计了新的系统级方法,使用超晶格tec来解决暗硅的两个主要来源。我们的方法将tec与动态电压和频率缩放以及线程数相结合,以最大限度地提高多核处理器在热和功率限制下的性能。使用基于四核处理器的实验设置,我们对使用基于超晶格的tec产生的性能,温度和功耗之间的权衡进行了评估。我们的研究结果证明了这种新兴冷却技术在缓解暗硅问题和提高多核处理器性能方面的潜力。
{"title":"Mitigating dark-silicon problems using superlattice-based thermoelectric coolers","authors":"Francesco Paterna, S. Reda","doi":"10.7873/DATE.2013.284","DOIUrl":"https://doi.org/10.7873/DATE.2013.284","url":null,"abstract":"Dark silicon is an emerging problem in multi-core processors, where it is not possible to enable all cores simultaneously because of either insufficient parallelism in software applications or because of high-spatial power densities that generate hot-spot constraints. Superlattice-based thermoelectric cooling (TEC) is a promising technology that offers large heat pumping capability and the ability to target hot spots of each core independently. In this paper, we devise novel system-level methods that address the two main sources of dark silicon using superlattice TECs. Our methods leverage the TECs in conjunction with dynamic voltage and frequency scaling and number of threads to maximize the performance of multi-core processor under thermal and power constraints. Using an experimental setup based on a quad-core processor, we provide an evaluation of the trade-offs among performance, temperature and power consumption arising from the use of superlattice-based TECs. Our results demonstrate the potential of this emerging cooling technology in mitigating dark silicon problems and in improving the performance of multi-core processors.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83610981","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
FPGA latency optimization using system-level transformations and DFG restructuring 使用系统级转换和DFG重构的FPGA延迟优化
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.316
D. Gomez-Prado, M. Ciesielski, R. Tessier
This paper describes a system-level approach to improve the latency of FPGA designs by performing optimization of the design specification on a functional level prior to high-level synthesis. The approach uses Taylor Expansion Diagrams (TEDs), a functional graph-based design representation, as a vehicle to optimize the dataflow graph (DFG) used as input to the subsequent synthesis. The optimization focuses on critical path compaction in the functional representation before translating it into a structural DFG representation. Our approach engages several passes of a traditional high-level synthesis (HLS) process in a simulated annealing-based loop to make efficient cost tradeoffs. The algorithm is time efficient and can be used for fast design space exploration. The results indicate a latency performance improvement of 22% on average versus HLS with the initial DFG for a series of designs mapped to Altera Stratix II devices.
本文描述了一种系统级方法,通过在高级综合之前在功能级别上执行设计规范的优化来改善FPGA设计的延迟。该方法使用Taylor展开图(ted),一种基于功能图的设计表示,作为优化数据流图(DFG)的工具,DFG用作后续合成的输入。在将函数表示转换为结构DFG表示之前,优化的重点是功能表示中的关键路径压缩。我们的方法在模拟退火循环中采用传统高级合成(HLS)过程的几个通道,以实现有效的成本权衡。该算法时间效率高,可用于快速的设计空间探索。结果表明,对于一系列设计映射到Altera Stratix II设备的初始DFG,与HLS相比,延迟性能平均提高22%。
{"title":"FPGA latency optimization using system-level transformations and DFG restructuring","authors":"D. Gomez-Prado, M. Ciesielski, R. Tessier","doi":"10.7873/DATE.2013.316","DOIUrl":"https://doi.org/10.7873/DATE.2013.316","url":null,"abstract":"This paper describes a system-level approach to improve the latency of FPGA designs by performing optimization of the design specification on a functional level prior to high-level synthesis. The approach uses Taylor Expansion Diagrams (TEDs), a functional graph-based design representation, as a vehicle to optimize the dataflow graph (DFG) used as input to the subsequent synthesis. The optimization focuses on critical path compaction in the functional representation before translating it into a structural DFG representation. Our approach engages several passes of a traditional high-level synthesis (HLS) process in a simulated annealing-based loop to make efficient cost tradeoffs. The algorithm is time efficient and can be used for fast design space exploration. The results indicate a latency performance improvement of 22% on average versus HLS with the initial DFG for a series of designs mapped to Altera Stratix II devices.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76499443","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Retiming for soft error minimization under error-latching window constraints 在错误锁存窗口约束下实现软错误最小化的重定时
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.210
Yinghai Lu, H. Zhou
Soft error has become a critical reliability issue in nanoscale integrated circuits, especially in sequential circuits where a latched error will be propagated for many cycles and affect many outputs at different time. Retiming is a structural operation that relocates registers in a circuit without changing its functionality. In this paper, the effect of retiming on soft error rate (SER) of a sequential circuit is investigated considering both logic masking and timing masking. A minimum observability retiming problem under error-latching window constraints is formulated to reduce the SER of the circuit. And an efficient algorithm is proposed to solve the problem optimally. Experimental results show on average a 32.7% reduction on SER from the original circuits and a 15% improvement over the existing method.
软误差已成为纳米级集成电路中一个重要的可靠性问题,特别是在时序电路中,锁存误差会传播多个周期,并在不同时间影响多个输出。重定时是一种结构操作,它在不改变电路功能的情况下重新定位电路中的寄存器。本文从逻辑屏蔽和时序屏蔽两方面研究了时序重定时对顺序电路软误码率的影响。提出了在误差锁存窗约束下的最小可观察性重定时问题,以降低电路的SER。并提出了一种最优求解该问题的有效算法。实验结果表明,该方法比原始电路平均降低了32.7%,比现有方法提高了15%。
{"title":"Retiming for soft error minimization under error-latching window constraints","authors":"Yinghai Lu, H. Zhou","doi":"10.7873/DATE.2013.210","DOIUrl":"https://doi.org/10.7873/DATE.2013.210","url":null,"abstract":"Soft error has become a critical reliability issue in nanoscale integrated circuits, especially in sequential circuits where a latched error will be propagated for many cycles and affect many outputs at different time. Retiming is a structural operation that relocates registers in a circuit without changing its functionality. In this paper, the effect of retiming on soft error rate (SER) of a sequential circuit is investigated considering both logic masking and timing masking. A minimum observability retiming problem under error-latching window constraints is formulated to reduce the SER of the circuit. And an efficient algorithm is proposed to solve the problem optimally. Experimental results show on average a 32.7% reduction on SER from the original circuits and a 15% improvement over the existing method.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83922909","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Parallel programming with SystemC for loosely timed models: A non-intrusive approach 用SystemC进行松散时间模型的并行编程:一种非侵入式方法
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.017
M. Moy
The SystemC/TLM technologies are widely accepted in the industry for fast system-level simulation. An important limitation of SystemC regarding performance is that the reference implementation is sequential, and the official semantics makes parallel executions difficult. As the number of cores in computers increase quickly, the ability to take advantage of the host parallelism during a simulation is becoming a major concern. Most existing work on parallelization of SystemC targets cycle-accurate simulation, and would be inefficient on loosely timed systems since they cannot run in parallel processes that do not execute simultaneously. We propose an approach that explicitly targets loosely timed systems, and offers the user a set of primitives to express tasks with duration, as opposed to the notion of time in SystemC which allows only instantaneous computations and time elapses without computation. Our tool exploits this notion of duration to run the simulation in parallel. It runs on top of any (unmodified) SystemC implementation, which lets legacy SystemC code continue running as-it-is. This allows the user to focus on the performance-critical parts of the program that need to be parallelized.
SystemC/TLM技术被业界广泛接受,用于快速的系统级仿真。SystemC在性能方面的一个重要限制是,参考实现是顺序的,而官方语义使得并行执行变得困难。随着计算机核心数量的快速增加,在模拟过程中利用主机并行性的能力正在成为一个主要问题。大多数现有的SystemC并行化工作目标是周期精确的模拟,并且在松散时间系统上效率低下,因为它们不能在不同时执行的并行进程中运行。我们提出了一种明确针对松散时间系统的方法,并为用户提供了一组原语来表达具有持续时间的任务,而不是SystemC中的时间概念,它只允许瞬时计算和时间流逝而不计算。我们的工具利用这种持续时间的概念来并行运行模拟。它运行在任何(未经修改的)SystemC实现之上,这使得遗留的SystemC代码继续按原样运行。这允许用户专注于程序中需要并行化的性能关键部分。
{"title":"Parallel programming with SystemC for loosely timed models: A non-intrusive approach","authors":"M. Moy","doi":"10.7873/DATE.2013.017","DOIUrl":"https://doi.org/10.7873/DATE.2013.017","url":null,"abstract":"The SystemC/TLM technologies are widely accepted in the industry for fast system-level simulation. An important limitation of SystemC regarding performance is that the reference implementation is sequential, and the official semantics makes parallel executions difficult. As the number of cores in computers increase quickly, the ability to take advantage of the host parallelism during a simulation is becoming a major concern. Most existing work on parallelization of SystemC targets cycle-accurate simulation, and would be inefficient on loosely timed systems since they cannot run in parallel processes that do not execute simultaneously. We propose an approach that explicitly targets loosely timed systems, and offers the user a set of primitives to express tasks with duration, as opposed to the notion of time in SystemC which allows only instantaneous computations and time elapses without computation. Our tool exploits this notion of duration to run the simulation in parallel. It runs on top of any (unmodified) SystemC implementation, which lets legacy SystemC code continue running as-it-is. This allows the user to focus on the performance-critical parts of the program that need to be parallelized.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88392837","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 32
Metastability challenges for 65nm and beyond; simulation and measurements 65纳米及以上的亚稳态挑战;模拟与测量
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.268
S. Beer, R. Ginosar, Jerome Cox, Tom Chaney, D. Zar
Recent synchronizer metastability measurements indicate degradation of MTBF with technology scaling, calling for measurement and calibration circuits in 65nm and below. Degradation of parameters can be even worse if the system is operated at extreme supply voltages and temperature conditions. In this work we study the behavior of synchronizers in a broad range of supply voltage and temperature corners. A digital on-chip measurement system is presented that helps to characterize synchronizers in future technologies and a new calibrating system is shown that accounts for changes in delay values due to supply voltage and temperature changes. We present a detailed comparison of measurements and simulations for a fabricated 65nm bulk CMOS circuit and discuss implications of the measurements for synchronization systems in 65nm and beyond. We propose an adaptive self-calibrating synchronizer to account for supply voltage, temperature, global process variations and DVFS.
最近同步器亚稳态测量表明,随着技术的缩放,MTBF的退化,需要65nm及以下的测量和校准电路。如果系统在极端的电源电压和温度条件下运行,参数的退化可能会更严重。在这项工作中,我们研究了同步器在广泛的电源电压和温度角范围内的行为。提出了一种数字片上测量系统,有助于表征未来技术中的同步器,并展示了一种新的校准系统,该系统考虑了由于电源电压和温度变化而导致的延迟值的变化。我们提出了一个制造65nm块体CMOS电路的测量和模拟的详细比较,并讨论了65nm及以上同步系统测量的含义。我们提出了一个自适应自校准同步器,以考虑电源电压,温度,全局过程变化和DVFS。
{"title":"Metastability challenges for 65nm and beyond; simulation and measurements","authors":"S. Beer, R. Ginosar, Jerome Cox, Tom Chaney, D. Zar","doi":"10.7873/DATE.2013.268","DOIUrl":"https://doi.org/10.7873/DATE.2013.268","url":null,"abstract":"Recent synchronizer metastability measurements indicate degradation of MTBF with technology scaling, calling for measurement and calibration circuits in 65nm and below. Degradation of parameters can be even worse if the system is operated at extreme supply voltages and temperature conditions. In this work we study the behavior of synchronizers in a broad range of supply voltage and temperature corners. A digital on-chip measurement system is presented that helps to characterize synchronizers in future technologies and a new calibrating system is shown that accounts for changes in delay values due to supply voltage and temperature changes. We present a detailed comparison of measurements and simulations for a fabricated 65nm bulk CMOS circuit and discuss implications of the measurements for synchronization systems in 65nm and beyond. We propose an adaptive self-calibrating synchronizer to account for supply voltage, temperature, global process variations and DVFS.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77176899","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
An approach for redundancy in FlexRay networks using FPGA partial reconfiguration FlexRay网络中使用FPGA部分重构实现冗余的方法
Pub Date : 2013-03-18 DOI: 10.7873/DATE.2013.155
Shanker Shreejith, Kizheppatt Vipin, Suhaib A. Fahmy, M. Lukasiewycz
Safety-critical in-vehicle electronic control units (ECUs) demand high levels of determinism and isolation, since they directly influence vehicle behaviour and passenger safety. As modern vehicles incorporate more complex computational systems, ensuring the safety of critical systems becomes paramount. One-to-one redundant units have been previously proposed as measures for evolving critical functions like x-by-wire. However, these may not be viable solutions for power-constrained systems like next generation electric vehicles. Reconfigurable architectures offer alternative approaches to implementing reliable safety critical systems using more efficient hardware. In this paper, we present an approach for implementing redundancy in safety-critical in-car systems, that uses FPGA partial reconfiguration and a customised bus controller to offer fast recovery from faults. Results show that such an integrated design is better than alternatives that use discrete bus interface modules.
安全关键型车载电子控制单元(ecu)需要高水平的确定性和隔离性,因为它们直接影响车辆行为和乘客安全。随着现代车辆采用更复杂的计算系统,确保关键系统的安全变得至关重要。一对一冗余单元先前已被提议作为发展关键功能(如x-by-wire)的措施。然而,对于下一代电动汽车等电力有限的系统来说,这些可能不是可行的解决方案。可重构架构为使用更高效的硬件实现可靠的安全关键系统提供了替代方法。在本文中,我们提出了一种在安全关键的车载系统中实现冗余的方法,该方法使用FPGA部分重构和定制总线控制器来提供从故障中快速恢复。结果表明,这种集成设计优于使用分立总线接口模块的替代方案。
{"title":"An approach for redundancy in FlexRay networks using FPGA partial reconfiguration","authors":"Shanker Shreejith, Kizheppatt Vipin, Suhaib A. Fahmy, M. Lukasiewycz","doi":"10.7873/DATE.2013.155","DOIUrl":"https://doi.org/10.7873/DATE.2013.155","url":null,"abstract":"Safety-critical in-vehicle electronic control units (ECUs) demand high levels of determinism and isolation, since they directly influence vehicle behaviour and passenger safety. As modern vehicles incorporate more complex computational systems, ensuring the safety of critical systems becomes paramount. One-to-one redundant units have been previously proposed as measures for evolving critical functions like x-by-wire. However, these may not be viable solutions for power-constrained systems like next generation electric vehicles. Reconfigurable architectures offer alternative approaches to implementing reliable safety critical systems using more efficient hardware. In this paper, we present an approach for implementing redundancy in safety-critical in-car systems, that uses FPGA partial reconfiguration and a customised bus controller to offer fast recovery from faults. Results show that such an integrated design is better than alternatives that use discrete bus interface modules.","PeriodicalId":6310,"journal":{"name":"2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77422572","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 26
期刊
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1