首页 > 最新文献

ICCAD. IEEE/ACM International Conference on Computer-Aided Design最新文献

英文 中文
A graph-partitioning-based approach for multi-layer constrained via minimization 基于图划分的多层约束最小化方法
Pub Date : 1998-11-01 DOI: 10.1145/288548.289065
Yih-Chih Chou, Y. Lin
We propose a novel layer assignment approach for the k-layer Constrained Via Minimization (CVM) problem. We transform the problem into a constrained k-way graph partitioning one. Practical issues such as pin-out constraint, over-the-cell constraint, and overlapping between wire segments of the same net, have all been taken into consideration. We propose a modified simulated annealing program for the problem. A set of large routing results generated by a commercial three-layer router has been used to test the effectiveness of the program. Up to 70% reduction of vias has been observed. Assuming an additional fourth layer is available, more reduction is achieved. This work is the first to demonstrate the feasibility of via minimization for practical sized multi layer layout. It is also applicable to future design with more layers.
针对k层约束最小化(CVM)问题,提出了一种新的层分配方法。我们把这个问题转化为一个有约束的k路图划分问题。实际问题,如pin-out约束、over- cell约束和同一网的线段之间的重叠,都被考虑在内。针对这一问题,我们提出了一个改进的模拟退火程序。利用商用三层路由器生成的一组大型路由结果验证了该方案的有效性。已观察到多达70%的过孔减少。假设有额外的第四层可用,则可以实现更多的减少。这项工作首次证明了在实际尺寸的多层布局中通过最小化的可行性。它也适用于未来更多层的设计。
{"title":"A graph-partitioning-based approach for multi-layer constrained via minimization","authors":"Yih-Chih Chou, Y. Lin","doi":"10.1145/288548.289065","DOIUrl":"https://doi.org/10.1145/288548.289065","url":null,"abstract":"We propose a novel layer assignment approach for the k-layer Constrained Via Minimization (CVM) problem. We transform the problem into a constrained k-way graph partitioning one. Practical issues such as pin-out constraint, over-the-cell constraint, and overlapping between wire segments of the same net, have all been taken into consideration. We propose a modified simulated annealing program for the problem. A set of large routing results generated by a commercial three-layer router has been used to test the effectiveness of the program. Up to 70% reduction of vias has been observed. Assuming an additional fourth layer is available, more reduction is achieved. This work is the first to demonstrate the feasibility of via minimization for practical sized multi layer layout. It is also applicable to future design with more layers.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74176570","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Proposal of a timing model for CMOS logic gates driving a CRC load CMOS逻辑门驱动CRC负载的时序模型的提出
Pub Date : 1998-01-01 DOI: 10.1109/ICCAD.1998.743050
A. Hirata, H. Onodera, K. Tamaru
{"title":"Proposal of a timing model for CMOS logic gates driving a CRC load","authors":"A. Hirata, H. Onodera, K. Tamaru","doi":"10.1109/ICCAD.1998.743050","DOIUrl":"https://doi.org/10.1109/ICCAD.1998.743050","url":null,"abstract":"","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1998-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74504258","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
A power modeling and characterization method for macrocells using structure information 基于结构信息的巨细胞功率建模与表征方法
Pub Date : 1997-11-13 DOI: 10.1109/ICCAD.1997.643584
Jiing-Yuan Lin, W. Shen, Jing-Yang Jou
To characterize a macrocell, a general method is to store the power consumption of all possible transition events at primary inputs in the lookup tables. Though this approach is very accurate, the lookup tables could be huge for the macrocells with many inputs. In this paper, we present a new power modeling method which takes advantage of the structure information of macrocells and selects minimum number of primary inputs or internal nodes in a macrocell as state variables to build a state transition graph (STG). Those state variables can completely model the transitions of all internal nodes and the primary outputs. By carefully deleting some state variables, we further introduce an incomplete power modeling technique which can simplify the STG without losing much accuracy. In addition, we exploit the property of the compatible patterns of a macrocell to further reduce the number of edges in the corresponding STG. Experimental results show that our modeling techniques can provide SPICE-like accuracy and can reduce the size of the lookup table significantly comparing to the general approach.
为了描述宏单元格的特征,一般的方法是在查找表中存储主输入处所有可能的转换事件的功耗。尽管这种方法非常准确,但是对于具有许多输入的宏单元格,查找表可能非常庞大。本文提出了一种新的能量建模方法,该方法利用宏单元的结构信息,选取最小的主输入数或宏单元内部节点数作为状态变量,构建状态转移图(STG)。这些状态变量可以完全模拟所有内部节点和主要输出的转换。通过仔细地删除一些状态变量,我们进一步引入了一种不完全功率建模技术,该技术可以简化STG而不会损失太多精度。此外,我们利用宏单元格兼容模式的特性,进一步减少相应STG中的边数。实验结果表明,与一般方法相比,我们的建模技术可以提供类似spice的精度,并且可以显着减少查找表的大小。
{"title":"A power modeling and characterization method for macrocells using structure information","authors":"Jiing-Yuan Lin, W. Shen, Jing-Yang Jou","doi":"10.1109/ICCAD.1997.643584","DOIUrl":"https://doi.org/10.1109/ICCAD.1997.643584","url":null,"abstract":"To characterize a macrocell, a general method is to store the power consumption of all possible transition events at primary inputs in the lookup tables. Though this approach is very accurate, the lookup tables could be huge for the macrocells with many inputs. In this paper, we present a new power modeling method which takes advantage of the structure information of macrocells and selects minimum number of primary inputs or internal nodes in a macrocell as state variables to build a state transition graph (STG). Those state variables can completely model the transitions of all internal nodes and the primary outputs. By carefully deleting some state variables, we further introduce an incomplete power modeling technique which can simplify the STG without losing much accuracy. In addition, we exploit the property of the compatible patterns of a macrocell to further reduce the number of edges in the corresponding STG. Experimental results show that our modeling techniques can provide SPICE-like accuracy and can reduce the size of the lookup table significantly comparing to the general approach.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1997-11-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83358685","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Metrology for analog module testing using analog testability bus 计量模拟模块测试使用模拟测试总线
Pub Date : 1996-12-01 DOI: 10.1109/ICCAD.1996.569916
C. Su, Yue-Tsang Chen, S. Jou, Y. Ting
In this paper, we propose a method to generate high quality test waveform on chip to avoid the parasitic effects in an analog testability bus test environment. For the test response analysis, we derive an extraction methodology to remove the parasitic effects and obtain the intrinsic response of the CUT. The test results show that the algorithm is robust such that the intrinsic responses remain the same regardless of the small variation in the test waveforms. With the concept of intrinsic responses, we are able to use a single library for the testing and diagnosis of multiple instantiation of an analog module.
本文提出了一种在芯片上生成高质量测试波形的方法,以避免模拟可测试总线测试环境中的寄生效应。在测试响应分析中,我们推导了一种去除寄生效应的提取方法,从而获得了CUT的固有响应。测试结果表明,该算法具有较强的鲁棒性,无论测试波形变化小,其固有响应都保持不变。利用固有响应的概念,我们能够使用单个库来测试和诊断模拟模块的多个实例化。
{"title":"Metrology for analog module testing using analog testability bus","authors":"C. Su, Yue-Tsang Chen, S. Jou, Y. Ting","doi":"10.1109/ICCAD.1996.569916","DOIUrl":"https://doi.org/10.1109/ICCAD.1996.569916","url":null,"abstract":"In this paper, we propose a method to generate high quality test waveform on chip to avoid the parasitic effects in an analog testability bus test environment. For the test response analysis, we derive an extraction methodology to remove the parasitic effects and obtain the intrinsic response of the CUT. The test results show that the algorithm is robust such that the intrinsic responses remain the same regardless of the small variation in the test waveforms. With the concept of intrinsic responses, we are able to use a single library for the testing and diagnosis of multiple instantiation of an analog module.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78484361","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
A power modeling and characterization method for the CMOS standard cell library 一种CMOS标准电池库的功率建模与表征方法
Pub Date : 1996-11-10 DOI: 10.1109/ICCAD.1996.569828
Jiing-Yuan Lin, W. Shen, Jing-Yang Jou
In this paper, we propose power consumption models for complex gates and transmission gates, which are extended from the model of basic gates proposed in [1]. We also describe an accurate power characterization method for CMOS standard cell libraries which accounts for the effects of input slew rate, output loading, and logic state dependencies. The characterization methodology separates the power consumption of a cell into three components, e.g., capacitive feedthrough power, short-circuit power, and dynamic power. For each component, power equation is derived from SPICE simulation results where the netlist is extracted from cell's layout. Experimental results on a set of ISCAS'85 benchmark circuits show that the power estimation based on our power modeling and characterization provides within 7% error of SPICE simulation on average while the CPU time consumed is more than two orders of magnitude less.
本文在文献[1]提出的基本栅极模型的基础上,提出了复杂栅极和传输栅极的功耗模型。我们还描述了CMOS标准单元库的精确功率表征方法,该方法考虑了输入转换率、输出负载和逻辑状态依赖性的影响。表征方法将电池的功耗分为三个部分,例如,电容馈通功率,短路功率和动态功率。对于每个组件,功率方程由SPICE模拟结果推导,其中从单元布局中提取网表。在一组ISCAS’85基准电路上的实验结果表明,基于我们的功率建模和表征的功率估计与SPICE模拟的平均误差在7%以内,而CPU消耗的时间减少了两个数量级以上。
{"title":"A power modeling and characterization method for the CMOS standard cell library","authors":"Jiing-Yuan Lin, W. Shen, Jing-Yang Jou","doi":"10.1109/ICCAD.1996.569828","DOIUrl":"https://doi.org/10.1109/ICCAD.1996.569828","url":null,"abstract":"In this paper, we propose power consumption models for complex gates and transmission gates, which are extended from the model of basic gates proposed in [1]. We also describe an accurate power characterization method for CMOS standard cell libraries which accounts for the effects of input slew rate, output loading, and logic state dependencies. The characterization methodology separates the power consumption of a cell into three components, e.g., capacitive feedthrough power, short-circuit power, and dynamic power. For each component, power equation is derived from SPICE simulation results where the netlist is extracted from cell's layout. Experimental results on a set of ISCAS'85 benchmark circuits show that the power estimation based on our power modeling and characterization provides within 7% error of SPICE simulation on average while the CPU time consumed is more than two orders of magnitude less.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-11-10","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75936576","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 21
Intranets and EDA: impact, application, and technology 内部网和EDA:影响、应用和技术
Pub Date : 1996-01-01 DOI: 10.1145/244522.244883
D. Ku, J. Rowson
As the primary Department of Commerce bureau to assist with post-natural disaster economic recovery, EDA received two distinct disaster supplemental appropriations totaling $500 million in Fiscal Year 2008. The appropriations are to be used for disaster relief, long-term recovery and restoration of infrastructure in areas covered by a declaration of major disaster under the Robert T. Stafford Disaster Relief and Emergency Assistance Act.
作为商务部协助自然灾害后经济恢复的主要部门,美国环境发展局在2008财政年度获得了两项不同的灾害补充拨款,总额达5亿美元。这些拨款将用于根据《罗伯特·t·斯塔福德救灾和紧急援助法》宣布发生重大灾害的地区的救灾、长期恢复和基础设施恢复。
{"title":"Intranets and EDA: impact, application, and technology","authors":"D. Ku, J. Rowson","doi":"10.1145/244522.244883","DOIUrl":"https://doi.org/10.1145/244522.244883","url":null,"abstract":"As the primary Department of Commerce bureau to assist with post-natural disaster economic recovery, EDA received two distinct disaster supplemental appropriations totaling $500 million in Fiscal Year 2008. The appropriations are to be used for disaster relief, long-term recovery and restoration of infrastructure in areas covered by a declaration of major disaster under the Robert T. Stafford Disaster Relief and Emergency Assistance Act.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1996-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72997234","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Optimal Latch Mapping And Retiming Within A Tree 树内最优锁存映射和重定时
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629772
J. Grodstein, E. Lehman, H. Harkness, H. Touati, B. Grundmann
We propose a technology mapping algorithm that takes existing structural technology-mapping algorithms based on dynamic programming and extends them to retime pipelined circuits. If the circuit to be mapped has a tree structure, our algorithm generates an optimal solution compatible with that structure. The algorithm takes into account gate delays and capacitive loads as latches are moved across the logic. It also supports latches with embedded logic: i.e., cells that combine a D latch with a combinational gate at little extra cost in latch delay.
本文提出了一种技术映射算法,该算法将现有的基于动态规划的结构化技术映射算法扩展到流水线电路的重新时序。如果要映射的电路具有树结构,我们的算法生成与该结构兼容的最优解。该算法考虑了锁存器在逻辑上移动时的门延迟和容性负载。它还支持具有嵌入式逻辑的锁存器:即,在锁存器延迟的额外成本很小的情况下,将D锁存器与组合门相结合的单元。
{"title":"Optimal Latch Mapping And Retiming Within A Tree","authors":"J. Grodstein, E. Lehman, H. Harkness, H. Touati, B. Grundmann","doi":"10.1109/ICCAD.1994.629772","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629772","url":null,"abstract":"We propose a technology mapping algorithm that takes existing structural technology-mapping algorithms based on dynamic programming and extends them to retime pipelined circuits. If the circuit to be mapped has a tree structure, our algorithm generates an optimal solution compatible with that structure. The algorithm takes into account gate delays and capacitive loads as latches are moved across the logic. It also supports latches with embedded logic: i.e., cells that combine a D latch with a combinational gate at little extra cost in latch delay.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74440456","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Decomposition Methods For Library Binding Of Speed-independent Asynchronous Designs 与速度无关的异步设计库绑定分解方法
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629876
P. Siegel, G. Micheli
We describe methods for decomposing gates within a speed-independent asynchronous design. The decomposition step is an essential part of the library binding process, and is used both to increase the granularity of the design for higher quality mapping and to ensure that the design can be implemented. We present algorithms for simple hazard-free gate decomposition, and show results which indicate that we can decompose most of the gates in our benchmark set by this simple method. We then extend these algorithms to work for those cases in which no simple decomposition exists.
我们描述了在速度无关的异步设计中分解门的方法。分解步骤是库绑定过程的重要组成部分,用于增加设计的粒度,以获得更高质量的映射,并确保设计可以实现。我们提出了一种简单的无害化门分解算法,结果表明,我们可以用这种简单的方法分解基准集中的大多数门。然后我们扩展这些算法,使其适用于不存在简单分解的情况。
{"title":"Decomposition Methods For Library Binding Of Speed-independent Asynchronous Designs","authors":"P. Siegel, G. Micheli","doi":"10.1109/ICCAD.1994.629876","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629876","url":null,"abstract":"We describe methods for decomposing gates within a speed-independent asynchronous design. The decomposition step is an essential part of the library binding process, and is used both to increase the granularity of the design for higher quality mapping and to ensure that the design can be implemented. We present algorithms for simple hazard-free gate decomposition, and show results which indicate that we can decompose most of the gates in our benchmark set by this simple method. We then extend these algorithms to work for those cases in which no simple decomposition exists.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79249779","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 35
Design Solutions And Challenges For Low Power Systems 低功耗系统的设计解决方案和挑战
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629729
Massoud Pedram, J. Rabaey
Description: In recent years, the desirability of portable operation of all types of electronic systems has become evident. One of the primary objectives in the design of portable systems is power reduction needed to minimize the size and weight allocated to batteries. Another driver of the progress in low power design is the increasing need to reduce active and/or standby power consumption in all electronic systems, low to high end, analog and digital. Essential elements of a low power design environment include means of analyzing the dissipation of a proposed or an existing design, mechanisms for minimizing the power consumption when needed and techniques to explore the impact of design trade-offs on the power consumption, area and performance of a design.
近年来,所有类型的电子系统的便携式操作的需求已经变得明显。便携式系统设计的主要目标之一是减少所需的功率,以最大限度地减少分配给电池的尺寸和重量。低功耗设计进步的另一个驱动因素是越来越需要降低所有电子系统(从低端到高端,模拟和数字)的有源和/或待机功耗。低功耗设计环境的基本要素包括分析提议或现有设计的耗散,在需要时最小化功耗的机制以及探索设计权衡对功耗,面积和性能的影响的技术。
{"title":"Design Solutions And Challenges For Low Power Systems","authors":"Massoud Pedram, J. Rabaey","doi":"10.1109/ICCAD.1994.629729","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629729","url":null,"abstract":"Description: In recent years, the desirability of portable operation of all types of electronic systems has become evident. One of the primary objectives in the design of portable systems is power reduction needed to minimize the size and weight allocated to batteries. Another driver of the progress in low power design is the increasing need to reduce active and/or standby power consumption in all electronic systems, low to high end, analog and digital. Essential elements of a low power design environment include means of analyzing the dissipation of a proposed or an existing design, mechanisms for minimizing the power consumption when needed and techniques to explore the impact of design trade-offs on the power consumption, area and performance of a design.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85115262","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Module Selection And Data Format Conversion For Cost-optimal Dsp Synthesis 成本最优Dsp合成的模块选择和数据格式转换
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629788
Kazuhito Ito, L. Lucke, K. Parhi
In high level synthesis each node of a synchronous dataflow graph (DFG) is scheduled to a specific time and allocated to a processor. In this paper we present new integer linear programming (ILP) models which generate a blocked schedule for a DFG with implicit retiming, pipelining, and unfolding while performing module selection and data format conversion. A blocked schedule is a schedule which overlaps multiple iterations of the DFG to guarantee a minimum number of processors. Component modules are selected from a library of processors to minimize cost. Furthermore, we include data format converters between processors of different data formats. In addition, we minimize the unfolding factor of the blocked schedule.
在高级合成中,同步数据流图(DFG)的每个节点被安排到特定的时间并分配给处理器。在本文中,我们提出了新的整数线性规划(ILP)模型,该模型在执行模块选择和数据格式转换时,为具有隐式重定时、流水线和展开的DFG生成阻塞调度。阻塞调度是一种调度,它重叠DFG的多个迭代,以保证最少的处理器数量。组件模块是从处理器库中选择的,以最大限度地降低成本。此外,我们还包括不同数据格式处理器之间的数据格式转换器。此外,我们还最小化了阻塞调度的展开因子。
{"title":"Module Selection And Data Format Conversion For Cost-optimal Dsp Synthesis","authors":"Kazuhito Ito, L. Lucke, K. Parhi","doi":"10.1109/ICCAD.1994.629788","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629788","url":null,"abstract":"In high level synthesis each node of a synchronous dataflow graph (DFG) is scheduled to a specific time and allocated to a processor. In this paper we present new integer linear programming (ILP) models which generate a blocked schedule for a DFG with implicit retiming, pipelining, and unfolding while performing module selection and data format conversion. A blocked schedule is a schedule which overlaps multiple iterations of the DFG to guarantee a minimum number of processors. Component modules are selected from a library of processors to minimize cost. Furthermore, we include data format converters between processors of different data formats. In addition, we minimize the unfolding factor of the blocked schedule.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85466939","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 18
期刊
ICCAD. IEEE/ACM International Conference on Computer-Aided Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1