首页 > 最新文献

ICCAD. IEEE/ACM International Conference on Computer-Aided Design最新文献

英文 中文
Efficient Network Flow Based Min-cut Balanced Partitioning 基于高效网络流的最小割均衡分区
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629743
Hannah Honghua Yang, Martin D. F. Wong
We consider the problem of bipartitioning a circuit into two balanced components that minimizes the number of crossing nets. Previously, the Kernighan and Lin type (K&L) heuristics, the simulated annealing approach, and the spectral method were given to solve the problem. However, network flow techniques were overlooked as a viable approach to min-cut balanced bipartition to due its high complexity. In this paper we propose a balanced bipartition heuristic based on repeated max-flow min-cut techniques, and give an efficient implementation that has the same asymptotic time complexity as that of one max-flow computation. We implemented our heuristic algorithm in a package called FBB. The experimental results demonstrate that FBB outperforms the K&L heuristics and the spectral method in terms of the number of crossing nets, and the efficient implementation makes it possible to partition large, circuit instances with reasonable runtime. For example, the average elapsed time for bipartitioning a circuit S35932 of almost 20K gates is less than 20 minutes.
我们考虑将电路双分割为两个平衡元件的问题,以减少交叉网的数量。在此之前,已经给出了Kernighan和Lin型(K&L)启发式、模拟退火法和谱法来解决这一问题。然而,由于网络流技术的复杂性,其作为一种可行的最小切割平衡二分割方法一直被忽视。本文提出了一种基于重复最大流最小割技术的平衡二分启发式算法,并给出了一种与一次最大流计算具有相同渐近时间复杂度的有效实现。我们在一个名为FBB的包中实现了启发式算法。实验结果表明,FBB在交叉网络数量方面优于K&L启发式方法和频谱方法,并且有效的实现使得在合理的运行时间内划分大型电路实例成为可能。例如,对电路S35932进行近20K栅极双分区的平均耗时不到20分钟。
{"title":"Efficient Network Flow Based Min-cut Balanced Partitioning","authors":"Hannah Honghua Yang, Martin D. F. Wong","doi":"10.1109/ICCAD.1994.629743","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629743","url":null,"abstract":"We consider the problem of bipartitioning a circuit into two balanced components that minimizes the number of crossing nets. Previously, the Kernighan and Lin type (K&L) heuristics, the simulated annealing approach, and the spectral method were given to solve the problem. However, network flow techniques were overlooked as a viable approach to min-cut balanced bipartition to due its high complexity. In this paper we propose a balanced bipartition heuristic based on repeated max-flow min-cut techniques, and give an efficient implementation that has the same asymptotic time complexity as that of one max-flow computation. We implemented our heuristic algorithm in a package called FBB. The experimental results demonstrate that FBB outperforms the K&L heuristics and the spectral method in terms of the number of crossing nets, and the efficient implementation makes it possible to partition large, circuit instances with reasonable runtime. For example, the average elapsed time for bipartitioning a circuit S35932 of almost 20K gates is less than 20 minutes.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88896654","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 157
Dynamical Identification Of Critical Paths For Iterative Gate Sizing 闸门迭代定径关键路径的动力学辨识
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629855
How-Rern Lin, TingTing Hwang
Since only sensitizable paths contribute to the delay of a circuit, false paths must be excluded in optimizing the delay of the circuit. Just identifying false paths in the first place is not sufficient since during iterative optimization process, false paths may become sensitizable, and sensitizable paths false. In this paper, we examine cases for false path becoming sensitizable and sensitizable becoming false. Based on these conditions, we adopt a so-called loose sensitization criterion which is used to develop an algorithm for dynamically identification of sensitizable paths. By combining gate sizing and dynamically identification of sensitizable paths, an efficient performance optimization tool is developed. Results on a set of circuits from ISCAS benchmark set demonstrate that our tool is indeed very effective in reducing circuit delay with less number of gate sized as compared with other methods.
由于只有敏感路径才会导致电路的延迟,因此在优化电路的延迟时必须排除假路径。因为在迭代优化过程中,假路径可能会变得敏感,而敏感路径可能会变得错误。在本文中,我们研究了假路径变得敏感和敏感变为假的情况。基于这些条件,我们采用了所谓的松散敏化准则,该准则用于开发动态识别可敏化路径的算法。将栅极尺寸与敏感路径的动态识别相结合,开发了一种高效的性能优化工具。在ISCAS基准集的一组电路上的结果表明,与其他方法相比,我们的工具在减少栅极尺寸数量的情况下确实非常有效地减少了电路延迟。
{"title":"Dynamical Identification Of Critical Paths For Iterative Gate Sizing","authors":"How-Rern Lin, TingTing Hwang","doi":"10.1109/ICCAD.1994.629855","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629855","url":null,"abstract":"Since only sensitizable paths contribute to the delay of a circuit, false paths must be excluded in optimizing the delay of the circuit. Just identifying false paths in the first place is not sufficient since during iterative optimization process, false paths may become sensitizable, and sensitizable paths false. In this paper, we examine cases for false path becoming sensitizable and sensitizable becoming false. Based on these conditions, we adopt a so-called loose sensitization criterion which is used to develop an algorithm for dynamically identification of sensitizable paths. By combining gate sizing and dynamically identification of sensitizable paths, an efficient performance optimization tool is developed. Results on a set of circuits from ISCAS benchmark set demonstrate that our tool is indeed very effective in reducing circuit delay with less number of gate sized as compared with other methods.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91201812","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Performance-driven Synthesis Of Asynchronous Controllers 性能驱动的异步控制器综合
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629875
K. Yun, Bill Lin, D. Dill, S. Devadas
We examine the implications of a new hazard-free combinational logic synthesis method, which generates multiplexor trees from binary decision diagrams (BDDs)—representations of logic functions factored recursively with respect to input variables—on extended burst-mode asynchronous synthesis. First, the use of the BDD-based synthesis reduces the constraints on state minimization and assignment, which reduces the number of additional state variables required in many cases. Second, in cases where conditional signals are sampled, it eliminates the need for state variable changes preceding output changes, which reduces overall input to output latency. Third, selection variables can easily be ordered to minimize the latency on a user-specified path, which is important for optimizing the performance of systems that use asynchronous components. We present extensive evaluations showing that, with only minimal optimization, the BBD-based synthesis gives comparable results in area with our previous exact two-level synthesis method. We also give a detailed example of the specified path optimization.
我们研究了一种新的无风险组合逻辑综合方法的含义,该方法从二进制决策图(bdd)生成多路树-逻辑函数的表示递归分解相对于输入变量-扩展突发模式异步综合。首先,基于bdd的综合的使用减少了对状态最小化和分配的约束,这减少了在许多情况下所需的额外状态变量的数量。其次,在对条件信号进行采样的情况下,它消除了在输出变化之前改变状态变量的需要,从而减少了从输入到输出的总体延迟。第三,可以很容易地对选择变量进行排序,以最小化用户指定路径上的延迟,这对于优化使用异步组件的系统的性能非常重要。我们进行了广泛的评估,结果表明,仅进行了最小的优化,基于bbd的合成在面积上与我们之前精确的两级合成方法相当。并给出了具体的路径优化实例。
{"title":"Performance-driven Synthesis Of Asynchronous Controllers","authors":"K. Yun, Bill Lin, D. Dill, S. Devadas","doi":"10.1109/ICCAD.1994.629875","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629875","url":null,"abstract":"We examine the implications of a new hazard-free combinational logic synthesis method, which generates multiplexor trees from binary decision diagrams (BDDs)—representations of logic functions factored recursively with respect to input variables—on extended burst-mode asynchronous synthesis. First, the use of the BDD-based synthesis reduces the constraints on state minimization and assignment, which reduces the number of additional state variables required in many cases. Second, in cases where conditional signals are sampled, it eliminates the need for state variable changes preceding output changes, which reduces overall input to output latency. Third, selection variables can easily be ordered to minimize the latency on a user-specified path, which is important for optimizing the performance of systems that use asynchronous components. We present extensive evaluations showing that, with only minimal optimization, the BBD-based synthesis gives comparable results in area with our previous exact two-level synthesis method. We also give a detailed example of the specified path optimization.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83124159","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
A Fast And Memory-efficient Diagnostic Fault Simulation For Sequential Circuits 时序电路快速高效故障诊断仿真
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629903
J. Jou, Shung-Chih Chen
In this paper, a fast and memory-efficient diagnostic fault simulator for sequential circuits is proposed. In it, a two-level optimization technique is developed and used to prompt the processing speed. In the first high level, an efficient list, which stores the indistinguishable faults so far for each fault during simulation, and the list maintaining algorithm are applied, thus the number of diagnostic comparisons is minimized. In the second low level, a bit-parallel comparison is developed to speed up the comparing process. Therefore, the different diagnostic measure reports for a given test set can be generated very quickly. In addition, the simulator is extended to diagnose the single stuck-at device fault. Experimental results show that this diagnostic simulator achieves a significant speedup compared to previous methods.
本文提出了一种快速高效的顺序电路故障诊断模拟器。提出了一种两级优化技术,并应用于提高加工速度。在第一级,对仿真过程中的每个故障采用有效的列表存储迄今为止的不可区分故障,并采用列表维护算法,从而最大限度地减少诊断比较的次数。在第二低电平,开发了位并行比较来加快比较过程。因此,可以非常快速地生成给定测试集的不同诊断度量报告。此外,还对该仿真器进行了扩展,实现了对单卡设备故障的诊断。实验结果表明,该诊断模拟器比以往的方法具有显著的加速效果。
{"title":"A Fast And Memory-efficient Diagnostic Fault Simulation For Sequential Circuits","authors":"J. Jou, Shung-Chih Chen","doi":"10.1109/ICCAD.1994.629903","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629903","url":null,"abstract":"In this paper, a fast and memory-efficient diagnostic fault simulator for sequential circuits is proposed. In it, a two-level optimization technique is developed and used to prompt the processing speed. In the first high level, an efficient list, which stores the indistinguishable faults so far for each fault during simulation, and the list maintaining algorithm are applied, thus the number of diagnostic comparisons is minimized. In the second low level, a bit-parallel comparison is developed to speed up the comparing process. Therefore, the different diagnostic measure reports for a given test set can be generated very quickly. In addition, the simulator is extended to diagnose the single stuck-at device fault. Experimental results show that this diagnostic simulator achieves a significant speedup compared to previous methods.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82271073","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Provably Correct High-level Timing Analysis Without Path Sensitization 可证明正确的高级时序分析没有路径敏化
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629905
S. Bhattacharya, S. Dey, F. Brglez
This paper addresses the problem of true delay estimation during high level design. The existing delay estimation techniques either estimate the topological delay of the circuit which may be pessimistic, or use gate-level timing analysis for calculating the true delay, which may be prohibitively expensive.We show that the paths in the implementation of a behavioral specification can be partitioned into two sets, SP and UP. While the paths in SP can affect the delay of the circuit, the paths in UP cannot. Consequently, the true delay of the resulting circuit can be computed by just measuring the topological delay of the paths in SP, eliminating the need for the computationally intensive process of path sensitization. Experimental results show that high-level true delay estimation can be done very fast, even when gate-level true delay estimation becomes computationally infeasible. The high-level delay estimates are verified by comparing with delay estimates obtained by gate-level timing analysis on the actual implementation.
本文研究了高电平设计中的真时延估计问题。现有的延迟估计技术要么估计电路的拓扑延迟,这可能是悲观的,要么使用门级时序分析来计算真正的延迟,这可能是非常昂贵的。我们证明了行为规范实现中的路径可以划分为两个集合,SP和UP。SP中的路径可以影响电路的延迟,而UP中的路径则不能。因此,仅通过测量SP中路径的拓扑延迟就可以计算出所得到电路的真实延迟,从而消除了计算密集型的路径敏化过程。实验结果表明,即使门级真延迟估计在计算上变得不可行的情况下,也可以非常快速地进行高级真延迟估计。通过与实际实现中门级时序分析得到的时延估计进行比较,验证了高阶时延估计。
{"title":"Provably Correct High-level Timing Analysis Without Path Sensitization","authors":"S. Bhattacharya, S. Dey, F. Brglez","doi":"10.1109/ICCAD.1994.629905","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629905","url":null,"abstract":"This paper addresses the problem of true delay estimation during high level design. The existing delay estimation techniques either estimate the topological delay of the circuit which may be pessimistic, or use gate-level timing analysis for calculating the true delay, which may be prohibitively expensive.\u0000We show that the paths in the implementation of a behavioral specification can be partitioned into two sets, SP and UP. While the paths in SP can affect the delay of the circuit, the paths in UP cannot. Consequently, the true delay of the resulting circuit can be computed by just measuring the topological delay of the paths in SP, eliminating the need for the computationally intensive process of path sensitization. Experimental results show that high-level true delay estimation can be done very fast, even when gate-level true delay estimation becomes computationally infeasible. The high-level delay estimates are verified by comparing with delay estimates obtained by gate-level timing analysis on the actual implementation.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87829548","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
A Symbolic Method To Reduce Power Consumption Of Circuits Containing False Paths 一种降低含假路径电路功耗的符号方法
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629820
R. I. Bahar, G. Hachtel, E. Macii, F. Somenzi
Power dissipation in technology mapped circuits can be reduced by performing gate re-sizing. Recently we have proposed a symbolic procedure which exploits the compactness of the ADD data structure to accurately calculate the arrival times at each node of a circuit for any primary input vector. In this paper we extend our timing analysis tool to the symbolic calculation of required times and slacks, and we use this information to identify gates of the circuits that can be re-sized. The nice feature of our approach is that it takes into account the presence of false paths naturally. As shown by the experimental results, circuits re-synthesized with the technique we present in this paper are guaranteed to be at least as fast as the original implementations, but smaller and substantially less power-consuming.
技术映射电路的功耗可以通过栅极尺寸调整来降低。最近,我们提出了一个符号过程,利用ADD数据结构的紧凑性来精确计算任何主输入向量在电路每个节点的到达时间。在本文中,我们将时序分析工具扩展到所需时间和松弛的符号计算,并使用这些信息来识别可以重新调整大小的电路门。我们的方法的优点在于它自然地考虑了假路径的存在。实验结果表明,用本文提出的技术重新合成的电路保证至少与原始实现一样快,但体积更小,功耗更低。
{"title":"A Symbolic Method To Reduce Power Consumption Of Circuits Containing False Paths","authors":"R. I. Bahar, G. Hachtel, E. Macii, F. Somenzi","doi":"10.1109/ICCAD.1994.629820","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629820","url":null,"abstract":"Power dissipation in technology mapped circuits can be reduced by performing gate re-sizing. Recently we have proposed a symbolic procedure which exploits the compactness of the ADD data structure to accurately calculate the arrival times at each node of a circuit for any primary input vector. In this paper we extend our timing analysis tool to the symbolic calculation of required times and slacks, and we use this information to identify gates of the circuits that can be re-sized. The nice feature of our approach is that it takes into account the presence of false paths naturally. As shown by the experimental results, circuits re-synthesized with the technique we present in this paper are guaranteed to be at least as fast as the original implementations, but smaller and substantially less power-consuming.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86580222","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 30
Delay And Area Optimization For Compact Placement By Gate Resizing And Relocation 通过栅极调整和重新定位实现紧凑布局的延迟和面积优化
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629757
Weitong Chuang, I. Hajj
In this paper, we first present an efficient algorithm for the gate sizing problem. Then we propose an algorithm which performs delay and area optimization for a given compact placement by resizing and relocating cells in the circuit layout. Since the gate sizing procedure is embedded within the placement adjustment process, interconnect capacitance information is included in the gate size selection process. As a result, the algorithm is able to obtain superior solutions.
在本文中,我们首先提出了一种求解闸门尺寸问题的有效算法。然后,我们提出了一种算法,通过调整电路布局中的单元大小和重新定位,对给定的紧凑布局进行延迟和面积优化。由于栅极尺寸程序嵌入在放置调整过程中,因此互连电容信息包含在栅极尺寸选择过程中。因此,该算法能够得到较优的解。
{"title":"Delay And Area Optimization For Compact Placement By Gate Resizing And Relocation","authors":"Weitong Chuang, I. Hajj","doi":"10.1109/ICCAD.1994.629757","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629757","url":null,"abstract":"In this paper, we first present an efficient algorithm for the gate sizing problem. Then we propose an algorithm which performs delay and area optimization for a given compact placement by resizing and relocating cells in the circuit layout. Since the gate sizing procedure is embedded within the placement adjustment process, interconnect capacitance information is included in the gate size selection process. As a result, the algorithm is able to obtain superior solutions.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80384097","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Symmetry Detection And Dynamic Variable 对称检测和动态变量
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629887
Shipra Panda, F. Somenzi, B. Plessier
Knowing that some variables are symmetric in a function has numerous applications; in particular, it can help produce better variable orders for Binary Decision Diagrams (BDDs) and related data structures (e.g., Algebraic Decision Diagrams). It has been conjectured that there always exists an optimum order for a BDD wherein symmetric variables are contiguous. We propose a new algorithm for the detection of symmetries, based on dynamic reordering, and we study its interaction with the reordering algorithm itself. We show that combining sifting with an efficient symmetry check for contiguous variables results in the fastest symmetry detection algorithm reported to date and produces better variable orders for many BDDs. The overhead on the sifting algorithm is negligible.
知道函数中的一些变量是对称的有很多应用;特别是,它可以帮助二进制决策图(bdd)和相关数据结构(例如,代数决策图)产生更好的变量顺序。对于对称变量连续的BDD,总存在一个最优序。提出了一种新的基于动态重排序的对称检测算法,并研究了它与重排序算法本身的相互作用。我们表明,将筛选与有效的连续变量对称性检查相结合,可以产生迄今为止报道的最快的对称性检测算法,并为许多bdd产生更好的变量顺序。筛选算法的开销可以忽略不计。
{"title":"Symmetry Detection And Dynamic Variable","authors":"Shipra Panda, F. Somenzi, B. Plessier","doi":"10.1109/ICCAD.1994.629887","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629887","url":null,"abstract":"Knowing that some variables are symmetric in a function has numerous applications; in particular, it can help produce better variable orders for Binary Decision Diagrams (BDDs) and related data structures (e.g., Algebraic Decision Diagrams). It has been conjectured that there always exists an optimum order for a BDD wherein symmetric variables are contiguous. We propose a new algorithm for the detection of symmetries, based on dynamic reordering, and we study its interaction with the reordering algorithm itself. We show that combining sifting with an efficient symmetry check for contiguous variables results in the fastest symmetry detection algorithm reported to date and produces better variable orders for many BDDs. The overhead on the sifting algorithm is negligible.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77517225","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Clock Period Constrained Minimal Buffer Insertion In Clock Trees 时钟周期约束的最小缓冲区插入时钟树
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629769
G. Téllez, M. Sarrafzadeh
In this paper we investigate the problem of computing a lower bound on the number of buffers required when given a maximum clock frequency and a predefined clock tree. Using generalized properties of published CMOS timing models, we formulate a novel non-linear and a simplified linear buffer insertion problem. We solve the latter optimally with an O(n) algorithm. The basic formulation and algorithm are extended to include a skew upper bound constraint. Using these algorithms we propose further algorithmic extensions that allow area and phase delay tradeoffs. Our results are verified using SPICE3e2 simulations with MCNC MOSIS 2.0μ models and parameters. Experiments show our buffer insertion algorithms can be used effectively for high-speed clock designs.
在本文中,我们研究了当给定最大时钟频率和预定义时钟树时所需缓冲区数量的下界计算问题。利用已发表的CMOS时序模型的广义性质,我们提出了一个新的非线性和简化的线性缓冲器插入问题。我们用O(n)算法最优地解决了后者。将基本公式和算法扩展到包含一个倾斜上界约束。使用这些算法,我们提出了进一步的算法扩展,允许面积和相位延迟权衡。用SPICE3e2模拟了MCNC MOSIS 2.0μ模型和参数,验证了我们的结果。实验表明,该算法可以有效地用于高速时钟设计。
{"title":"Clock Period Constrained Minimal Buffer Insertion In Clock Trees","authors":"G. Téllez, M. Sarrafzadeh","doi":"10.1109/ICCAD.1994.629769","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629769","url":null,"abstract":"In this paper we investigate the problem of computing a lower bound on the number of buffers required when given a maximum clock frequency and a predefined clock tree. Using generalized properties of published CMOS timing models, we formulate a novel non-linear and a simplified linear buffer insertion problem. We solve the latter optimally with an O(n) algorithm. The basic formulation and algorithm are extended to include a skew upper bound constraint. Using these algorithms we propose further algorithmic extensions that allow area and phase delay tradeoffs. Our results are verified using SPICE3e2 simulations with MCNC MOSIS 2.0μ models and parameters. Experiments show our buffer insertion algorithms can be used effectively for high-speed clock designs.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78560882","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
Synthesis Of Manufacturable Analog Circuits 可制造模拟电路的合成
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629880
T. Mukherjee, L. Carley, Rob A. Rutenbar
We describe a synthesis system that takes operating range constraints and inter- and intra-circuit parametric manufacturing variations into account while designing a sized and biased analog circuit. Previous approaches to CAD for analog circuit synthesis have concentrated on nominal analog circuit design, and subsequent optimization of these circuits for statistical fluctuations and operating point ranges. Our approach simultaneously synthesizes and optimizes for operating and manufacturing variations by mapping the circuit design problem into an Infinite Programming problem and solving it using an annealing within annealing formulation. We present circuits designed by this integrated synthesis system, and show that they indeed meet their operating range and parametric manufacturing constraints.
我们描述了一个综合系统,该系统在设计尺寸和偏压模拟电路时考虑了工作范围约束和电路间和电路内参数制造变化。以前模拟电路合成的CAD方法集中在标称模拟电路设计,以及随后针对统计波动和工作点范围对这些电路进行优化。我们的方法通过将电路设计问题映射到无限规划问题并使用退火中的退火公式解决它,同时综合和优化操作和制造变化。我们给出了由该集成合成系统设计的电路,并表明它们确实满足其工作范围和参数制造约束。
{"title":"Synthesis Of Manufacturable Analog Circuits","authors":"T. Mukherjee, L. Carley, Rob A. Rutenbar","doi":"10.1109/ICCAD.1994.629880","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629880","url":null,"abstract":"We describe a synthesis system that takes operating range constraints and inter- and intra-circuit parametric manufacturing variations into account while designing a sized and biased analog circuit. Previous approaches to CAD for analog circuit synthesis have concentrated on nominal analog circuit design, and subsequent optimization of these circuits for statistical fluctuations and operating point ranges. Our approach simultaneously synthesizes and optimizes for operating and manufacturing variations by mapping the circuit design problem into an Infinite Programming problem and solving it using an annealing within annealing formulation. We present circuits designed by this integrated synthesis system, and show that they indeed meet their operating range and parametric manufacturing constraints.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77363683","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
期刊
ICCAD. IEEE/ACM International Conference on Computer-Aided Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1