首页 > 最新文献

ICCAD. IEEE/ACM International Conference on Computer-Aided Design最新文献

英文 中文
Congestion minimization during placement without estimation 在没有估计的情况下,在放置过程中使拥塞最小化
Pub Date : 2002-11-10 DOI: 10.1145/774572.774681
Bo Hu, M. Marek-Sadowska
This paper presents a new congestion minimization technique for standard cell global placement. The most distinct feature of this approach is that it does not follow the traditional "estimate-then-eliminate" strategy. Instead, it avoids the excessive usage of routing resources by the "local" nets so that more routing resources are available for the uncertain "global" nets. The experimental results show that our new technique, SPARSE, achieves better routability than the traditional total wire length (Bounding Box) guided placers, which had been shown to deliver the best routability results among the placers optimizing different cost functions [2]. Another feature of SPARSE is the capability of allocating white space implicitly. SPARSE exploits the well known empirical Rent's rule and is able to improve the routability even more in the presence of white space. Compared to the most recent academic routability-driven placer Dragon[8], SPARSE is able to produce solutions with equal or better routability.
本文提出了一种新的标准单元全局布局的拥塞最小化技术。这种方法最显著的特点是它不遵循传统的“估计-然后消除”策略。相反,它避免了“本地”网络对路由资源的过度使用,从而为不确定的“全局”网络提供了更多的路由资源。实验结果表明,我们的新技术,稀疏,比传统的总线长(边界盒)引导的放砂器具有更好的可达性,在优化不同成本函数[2]的放砂器中,这已经被证明是最佳的可达性结果。SPARSE的另一个特性是隐式分配空白的能力。稀疏利用了众所周知的经验Rent’s规则,并且能够在存在空白空间的情况下进一步提高可达性。与最近的学术可达性驱动的砂矿龙[8]相比,稀疏能够产生具有相同或更好的可达性的解决方案。
{"title":"Congestion minimization during placement without estimation","authors":"Bo Hu, M. Marek-Sadowska","doi":"10.1145/774572.774681","DOIUrl":"https://doi.org/10.1145/774572.774681","url":null,"abstract":"This paper presents a new congestion minimization technique for standard cell global placement. The most distinct feature of this approach is that it does not follow the traditional \"estimate-then-eliminate\" strategy. Instead, it avoids the excessive usage of routing resources by the \"local\" nets so that more routing resources are available for the uncertain \"global\" nets. The experimental results show that our new technique, SPARSE, achieves better routability than the traditional total wire length (Bounding Box) guided placers, which had been shown to deliver the best routability results among the placers optimizing different cost functions [2]. Another feature of SPARSE is the capability of allocating white space implicitly. SPARSE exploits the well known empirical Rent's rule and is able to improve the routability even more in the presence of white space. Compared to the most recent academic routability-driven placer Dragon[8], SPARSE is able to produce solutions with equal or better routability.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-11-10","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78454878","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 37
An Analytical High-Level Battery Model for Use in Energy Management of Portable Electronic Systems 一种用于便携式电子系统能量管理的解析型高级电池模型
Pub Date : 2001-11-04 DOI: 10.1109/ICCAD.2001.968687
Daler N. Rakhmatov, S. Vrudhula
Once the battery becomes fully discharged, a battery-powered portable electronic system goes off-line. Therefore, it is important to take the battery behavior into account. A system designer needs an adequate high-level model in order to make battery-aware decisions that target maximization of the system's lifetime on-line. We propose such a model: it allows a designer to predict the battery time-to-failure for a given load and provides a cost metric for lifetime optimization algorithms. Our model also allows for a tradeoff between the accuracy and the amount of computation performed. The quality of the proposed model is evaluated using a detailed low-level simulation of a lithium-ion electrochemical cell.
一旦电池完全放电,电池供电的便携式电子系统就会离线。因此,考虑电池的性能是很重要的。系统设计人员需要一个适当的高级模型,以便做出电池感知决策,目标是最大化系统的在线寿命。我们提出了这样一个模型:它允许设计人员预测给定负载下的电池故障时间,并为寿命优化算法提供成本指标。我们的模型还允许在精度和执行的计算量之间进行权衡。所提出的模型的质量是通过对锂离子电化学电池进行详细的低水平模拟来评估的。
{"title":"An Analytical High-Level Battery Model for Use in Energy Management of Portable Electronic Systems","authors":"Daler N. Rakhmatov, S. Vrudhula","doi":"10.1109/ICCAD.2001.968687","DOIUrl":"https://doi.org/10.1109/ICCAD.2001.968687","url":null,"abstract":"Once the battery becomes fully discharged, a battery-powered portable electronic system goes off-line. Therefore, it is important to take the battery behavior into account. A system designer needs an adequate high-level model in order to make battery-aware decisions that target maximization of the system's lifetime on-line. We propose such a model: it allows a designer to predict the battery time-to-failure for a given load and provides a cost metric for lifetime optimization algorithms. Our model also allows for a tradeoff between the accuracy and the amount of computation performed. The quality of the proposed model is evaluated using a detailed low-level simulation of a lithium-ion electrochemical cell.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2001-11-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76263993","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 260
Single-pass redundancy addition and removal 单通道冗余添加和删除
Pub Date : 2001-11-04 DOI: 10.1109/ICCAD.2001.968723
C. Chang, M. Marek-Sadowska
Redundancy-addition-and-removal is a rewiring technique which for a given target wire wt finds a redundant alternative wire wa. Addition of wa makes wt redundant and hence removable without changing the overall circuit functionality. Incremental logic restructuring based on this technique has been used in many applications. However, the search for valid alternative wires requires trial-and-error redundancy testing of a potentially large set of candidate wires. In this paper, we study the fundamental theory behind this technique and propose a new reasoning scheme which directly identifies alternative wires without performing trial-and-error tests. Experimental results show up to 15 times speedup in comparison to the best techniques in literature.
冗余添加和删除是一种重新布线技术,它为给定的目标导线wt找到冗余的替代导线wa。wa的加入使得wt冗余,因此可以在不改变整体电路功能的情况下移动。基于该技术的增量逻辑重构已在许多应用中得到应用。然而,寻找有效的替代连接需要对潜在的大量候选连接进行试错冗余测试。在本文中,我们研究了该技术背后的基本理论,并提出了一种新的推理方案,可以直接识别替代电线,而无需进行试错测试。实验结果表明,与文献中最好的技术相比,其速度提高了15倍。
{"title":"Single-pass redundancy addition and removal","authors":"C. Chang, M. Marek-Sadowska","doi":"10.1109/ICCAD.2001.968723","DOIUrl":"https://doi.org/10.1109/ICCAD.2001.968723","url":null,"abstract":"Redundancy-addition-and-removal is a rewiring technique which for a given target wire wt finds a redundant alternative wire wa. Addition of wa makes wt redundant and hence removable without changing the overall circuit functionality. Incremental logic restructuring based on this technique has been used in many applications. However, the search for valid alternative wires requires trial-and-error redundancy testing of a potentially large set of candidate wires. In this paper, we study the fundamental theory behind this technique and propose a new reasoning scheme which directly identifies alternative wires without performing trial-and-error tests. Experimental results show up to 15 times speedup in comparison to the best techniques in literature.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2001-11-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1109/ICCAD.2001.968723","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72378680","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 33
Interconnect resource-aware placement for hierarchical FPGAs 分层fpga的互连资源感知放置
Pub Date : 2001-11-04 DOI: 10.1109/ICCAD.2001.968609
A. Singh, G. Parthasarathy, M. Marek-Sadowska
In this paper, we utilize Rent's rule as an empirical measure for efficient clustering and placement of circuits on hierarchical FPGAs. We show that careful matching of design complexity and architecture resources of hierarchical FPGAs can have a positive impact on the overall device area. We propose a circuit placement algorithm based on Rent's parameter and show that our clustering and placement techniques can improve the overall device routing area by as much as 21% for the same array size, when compared to a state-of-art FPGA placement and routing tool.
在本文中,我们利用Rent’s规则作为有效聚类和分层fpga上电路放置的经验措施。我们表明,分层fpga的设计复杂性和架构资源的仔细匹配可以对整个器件面积产生积极影响。我们提出了一种基于Rent参数的电路布局算法,并表明与最先进的FPGA布局和路由工具相比,我们的聚类和布局技术可以在相同阵列大小的情况下将整体器件路由面积提高21%。
{"title":"Interconnect resource-aware placement for hierarchical FPGAs","authors":"A. Singh, G. Parthasarathy, M. Marek-Sadowska","doi":"10.1109/ICCAD.2001.968609","DOIUrl":"https://doi.org/10.1109/ICCAD.2001.968609","url":null,"abstract":"In this paper, we utilize Rent's rule as an empirical measure for efficient clustering and placement of circuits on hierarchical FPGAs. We show that careful matching of design complexity and architecture resources of hierarchical FPGAs can have a positive impact on the overall device area. We propose a circuit placement algorithm based on Rent's parameter and show that our clustering and placement techniques can improve the overall device routing area by as much as 21% for the same array size, when compared to a state-of-art FPGA placement and routing tool.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2001-11-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88672118","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
Design-manufacturing interface for 0.13 micron and below 设计-制造界面为0.13微米及以下
Pub Date : 2000-11-05 DOI: 10.1109/ICCAD.2000.896534
A. Strojwas
Over the years, the increase in IC functionality has been achieved by a continuous drive towards smaller feature sizes. Due to the decreasing dimensions of semiconductor structures, the sensitivity to critical design and manufacturing parameters has risen dramatically. Vertical integration techniques and multi-level interconnect, which are becoming more common in modern technologies, have driven up the number of critical processing steps to several hundreds. These trends are expected to continue for the next several decades. The .13 micron technology is around the corner, as well as 300mm wafers. The increase in IC functionality has come with a skyrocketing capital spending (more than $2 billion per fabrication facility). Moreover, the product life cycles for leading edge IC's have become very short (less than 2 years).
多年来,IC功能的增加是通过不断推动更小的特征尺寸来实现的。由于半导体结构尺寸的减小,对关键设计和制造参数的敏感性急剧提高。垂直集成技术和多层次互连技术在现代技术中越来越普遍,已将关键处理步骤的数量提高到数百个。这些趋势预计将持续几十年。13微米的技术即将问世,还有300毫米的晶圆。集成电路功能的增加伴随着资本支出的飙升(每个制造工厂超过20亿美元)。此外,前沿集成电路的产品生命周期已经变得非常短(不到2年)。
{"title":"Design-manufacturing interface for 0.13 micron and below","authors":"A. Strojwas","doi":"10.1109/ICCAD.2000.896534","DOIUrl":"https://doi.org/10.1109/ICCAD.2000.896534","url":null,"abstract":"Over the years, the increase in IC functionality has been achieved by a continuous drive towards smaller feature sizes. Due to the decreasing dimensions of semiconductor structures, the sensitivity to critical design and manufacturing parameters has risen dramatically. Vertical integration techniques and multi-level interconnect, which are becoming more common in modern technologies, have driven up the number of critical processing steps to several hundreds. These trends are expected to continue for the next several decades. The .13 micron technology is around the corner, as well as 300mm wafers. The increase in IC functionality has come with a skyrocketing capital spending (more than $2 billion per fabrication facility). Moreover, the product life cycles for leading edge IC's have become very short (less than 2 years).","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-11-05","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80280464","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
An efficient method for hot-spot identification in ULSI circuits 一种有效的ULSI电路热点识别方法
Pub Date : 1999-11-07 DOI: 10.1109/ICCAD.1999.810635
Yi-Kan Cheng, S. Kang
In this paper, we present a method to efficiently identify the onchip hot spots in ULSI circuits. A set of mathematical formulae were derived in analytical forms so that local temperature information can be fetched quickly. These formulae were based on the Green's function and error function approximation, and the resulting equations were further simplified to a tractable level by asserting different constraints. Experimental result shows that this method is able to accurately locate the hot spots with little time complexity. It is particularly useful for temperature-driven circuit macro placement in early chip design phase, for which a large number of design iterations is needed and simulation efficiency is much required.
在本文中,我们提出了一种方法,以有效地识别片上热点的ULSI电路。导出了一套解析式的数学公式,以便快速获取局部温度信息。这些公式基于格林函数和误差函数逼近,并通过断言不同的约束条件将所得方程进一步简化到可处理的水平。实验结果表明,该方法能够准确定位热点,且时间复杂度小。对于需要大量设计迭代和对仿真效率要求很高的芯片设计初期的温度驱动电路宏放置尤其有用。
{"title":"An efficient method for hot-spot identification in ULSI circuits","authors":"Yi-Kan Cheng, S. Kang","doi":"10.1109/ICCAD.1999.810635","DOIUrl":"https://doi.org/10.1109/ICCAD.1999.810635","url":null,"abstract":"In this paper, we present a method to efficiently identify the onchip hot spots in ULSI circuits. A set of mathematical formulae were derived in analytical forms so that local temperature information can be fetched quickly. These formulae were based on the Green's function and error function approximation, and the resulting equations were further simplified to a tractable level by asserting different constraints. Experimental result shows that this method is able to accurately locate the hot spots with little time complexity. It is particularly useful for temperature-driven circuit macro placement in early chip design phase, for which a large number of design iterations is needed and simulation efficiency is much required.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1999-11-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73867414","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 32
Design of a Set-Top Box System on a Chip 芯片上机顶盒系统的设计
Pub Date : 1999-11-01 DOI: 10.1109/ICCAD.1999.810719
E. M. Foster
This presentation will review system-level issues associated with integrating the major blocks of a Set-Top Box onto a single die. In addition to the challenges of merging several powerful functions into a single chip, the goal of integration is to yield a composite design that is not only more cost effective but also provides more function than the sum of discrete parts. This is accomplished through consolidated and shared memory, improved system bandwidth and efficiency, and additional inter-macro signals to facilitate improved communication.
本演讲将回顾与将机顶盒的主要模块集成到单个模具上相关的系统级问题。除了将几个强大的功能合并到一个芯片上的挑战之外,集成的目标是产生一个复合设计,不仅更具成本效益,而且比分立部件的总和提供更多的功能。这是通过整合和共享内存、改进的系统带宽和效率以及额外的宏间信号来促进改进的通信来实现的。
{"title":"Design of a Set-Top Box System on a Chip","authors":"E. M. Foster","doi":"10.1109/ICCAD.1999.810719","DOIUrl":"https://doi.org/10.1109/ICCAD.1999.810719","url":null,"abstract":"This presentation will review system-level issues associated with integrating the major blocks of a Set-Top Box onto a single die. In addition to the challenges of merging several powerful functions into a single chip, the goal of integration is to yield a composite design that is not only more cost effective but also provides more function than the sum of discrete parts. This is accomplished through consolidated and shared memory, improved system bandwidth and efficiency, and additional inter-macro signals to facilitate improved communication.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1999-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74613012","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Efficient transient electrothermal simulation of CMOS VLSI circuits under electrical overstress 电过应力下CMOS VLSI电路的高效瞬态电热模拟
Pub Date : 1998-11-01 DOI: 10.1145/288548.288553
Tong Li, C. Tsai, S. Kang
Accurate simulation of transient device thermal behavior is essential to predict CMOS VLSI circuit failures under electrical overstress (EOS). In this paper, we present an efficient transient electrothermal simulator that is built upon a SPICE-like engine. The transient device temperature is estimated by the convolution of the device power dissipation and its thermal impulse response which can be derived an analytical solution of the heat diffusion equation. New fast thermal simulation techniques are proposed including a regionwise-exponential (RWE) approximation of thermal impulse response and recursive convolution scheme. The recursive convolution provides a significant performance improvement over the numerical convolution by orders of magnitude, making it computationally feasible to simulate CMOS circuits with many devices.
瞬态器件热行为的精确模拟对于预测电过应力(EOS)下CMOS VLSI电路的失效至关重要。在本文中,我们提出了一个高效的瞬态电热模拟器,它建立在一个类似spice的引擎上。通过器件功耗和热脉冲响应的卷积估计器件瞬态温度,并推导出热扩散方程的解析解。提出了新的快速热模拟技术,包括热脉冲响应的区域指数近似和递推卷积格式。与数值卷积相比,递归卷积提供了数量级的显著性能改进,使其在计算上可行地模拟具有许多器件的CMOS电路。
{"title":"Efficient transient electrothermal simulation of CMOS VLSI circuits under electrical overstress","authors":"Tong Li, C. Tsai, S. Kang","doi":"10.1145/288548.288553","DOIUrl":"https://doi.org/10.1145/288548.288553","url":null,"abstract":"Accurate simulation of transient device thermal behavior is essential to predict CMOS VLSI circuit failures under electrical overstress (EOS). In this paper, we present an efficient transient electrothermal simulator that is built upon a SPICE-like engine. The transient device temperature is estimated by the convolution of the device power dissipation and its thermal impulse response which can be derived an analytical solution of the heat diffusion equation. New fast thermal simulation techniques are proposed including a regionwise-exponential (RWE) approximation of thermal impulse response and recursive convolution scheme. The recursive convolution provides a significant performance improvement over the numerical convolution by orders of magnitude, making it computationally feasible to simulate CMOS circuits with many devices.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72592649","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
Interconnect in high speed designs: problems, methodologies and tools 高速互连设计:问题、方法和工具
Pub Date : 1998-11-01 DOI: 10.1145/288548.288551
P. Restle, J. Phillips, I. Elfadel
Summary form only given.This tutorial is intended to help circuit designers and CAD tools developers gain an understanding of the problems, the existing tools, and the critical CAD needs in the area of interconnect for high speed systems.
只提供摘要形式。本教程旨在帮助电路设计人员和CAD工具开发人员了解高速系统互连领域的问题,现有工具和关键CAD需求。
{"title":"Interconnect in high speed designs: problems, methodologies and tools","authors":"P. Restle, J. Phillips, I. Elfadel","doi":"10.1145/288548.288551","DOIUrl":"https://doi.org/10.1145/288548.288551","url":null,"abstract":"Summary form only given.This tutorial is intended to help circuit designers and CAD tools developers gain an understanding of the problems, the existing tools, and the critical CAD needs in the area of interconnect for high speed systems.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75886679","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Network flow based circuit partitioning for time-multiplexed FPGAs 基于网络流的时复用fpga电路划分
Pub Date : 1998-11-01 DOI: 10.1145/288548.289077
Huiqun Liu, D. F. Wong
Time multiplexed FPGAs have the potential to dramatically improve logic density by time sharing logic, and have become an active research for reconfigurable computing. The partitioning problem for time multiplexed FPGAs is different from the traditional partitioning problem in that the nodes have precedence constraints among them, and the widely used iterative improvement partitioning methods such as KL B.W. Kernighan and S. Lin, 1978) are no longer applicable. All later approaches (S. Trimberger, 1998; D. Chang and M. Marek-Sadowska, 1998; 1997) used list scheduling heuristics. We present a network flow based algorithm for multi way precedence constrained partitioning, which can handle the precedence constraints while minimizing the net cut size. The experimental results on the MCNC benchmark circuits show that our algorithm outperforms list scheduling by a big margin, with an average improvement of over 50% for bipartitioning and 20% for multi way partitioning.
时间复用fpga具有通过时间共享逻辑显著提高逻辑密度的潜力,已成为可重构计算领域的一个活跃研究方向。时间复用fpga的划分问题与传统的划分问题不同,节点之间存在优先约束,广泛使用的迭代改进划分方法(如KL B.W. Kernighan和S. Lin, 1978)已不再适用。所有后来的方法(S. Trimberger, 1998;D. Chang和M. Marek-Sadowska, 1998;1997)使用列表调度启发式。提出了一种基于网络流的多路优先约束分区算法,该算法在处理优先约束的同时最小化了网络切割大小。在MCNC基准电路上的实验结果表明,我们的算法大大优于列表调度,双分区的平均改进幅度超过50%,多路分区的平均改进幅度超过20%。
{"title":"Network flow based circuit partitioning for time-multiplexed FPGAs","authors":"Huiqun Liu, D. F. Wong","doi":"10.1145/288548.289077","DOIUrl":"https://doi.org/10.1145/288548.289077","url":null,"abstract":"Time multiplexed FPGAs have the potential to dramatically improve logic density by time sharing logic, and have become an active research for reconfigurable computing. The partitioning problem for time multiplexed FPGAs is different from the traditional partitioning problem in that the nodes have precedence constraints among them, and the widely used iterative improvement partitioning methods such as KL B.W. Kernighan and S. Lin, 1978) are no longer applicable. All later approaches (S. Trimberger, 1998; D. Chang and M. Marek-Sadowska, 1998; 1997) used list scheduling heuristics. We present a network flow based algorithm for multi way precedence constrained partitioning, which can handle the precedence constraints while minimizing the net cut size. The experimental results on the MCNC benchmark circuits show that our algorithm outperforms list scheduling by a big margin, with an average improvement of over 50% for bipartitioning and 20% for multi way partitioning.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89472793","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 35
期刊
ICCAD. IEEE/ACM International Conference on Computer-Aided Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1