首页 > 最新文献

ICCAD. IEEE/ACM International Conference on Computer-Aided Design最新文献

英文 中文
Multi-level Network Optimization For Low Power 低功耗多层次网络优化
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629821
S. Iman, Massoud Pedram
This paper describes a procedure for minimizing the power consumption in a boolean network under the zero delay model. Power is minimized by modifying the function of each intermediate node in the network such that the power consumption of the node is decreased without increasing the power consumption of the other nodes in the network. A formal analysis of how changes in the switching activity of an intermediate node affect the switching activity of other nodes in the networks is given first. Using this analysis, a procedure for calculating the set of compatible power don't cares for each node in the network is presented. Finally it is shown how these don't cares are used to optimize the network for low power. These techniques have been implemented and results show an average of 10% improvement in total power consumption of the network compared to the results generated by the conventional network optimization techniques.
本文描述了零延迟模型下布尔网络的最小功耗算法。通过修改网络中每个中间节点的功能,使该节点的功耗降低,而不增加网络中其他节点的功耗,从而使功耗最小化。首先给出了中间节点交换活动的变化如何影响网络中其他节点交换活动的形式化分析。在此基础上,给出了网络中各节点兼容功率不关心集的计算方法。最后展示了如何使用这些不关心来优化低功耗网络。这些技术已经实现,结果表明,与传统网络优化技术产生的结果相比,网络的总功耗平均提高了10%。
{"title":"Multi-level Network Optimization For Low Power","authors":"S. Iman, Massoud Pedram","doi":"10.1109/ICCAD.1994.629821","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629821","url":null,"abstract":"This paper describes a procedure for minimizing the power consumption in a boolean network under the zero delay model. Power is minimized by modifying the function of each intermediate node in the network such that the power consumption of the node is decreased without increasing the power consumption of the other nodes in the network. A formal analysis of how changes in the switching activity of an intermediate node affect the switching activity of other nodes in the networks is given first. Using this analysis, a procedure for calculating the set of compatible power don't cares for each node in the network is presented. Finally it is shown how these don't cares are used to optimize the network for low power. These techniques have been implemented and results show an average of 10% improvement in total power consumption of the network compared to the results generated by the conventional network optimization techniques.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86019943","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 66
Test Pattern Generation Based On Arithmetic Operations 基于算术运算的测试模式生成
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629753
Sanjay Gupta, J. Rajski, J. Tyszer
Existing built-in self test (BIST) strategies require the use of specialized test pattern generation hardware which introduces significant area overhead and performance degradation. In this paper, we propose a novel method for implementing test pattern generators based on adders widely available in data-path architectures and digital signal processing circuits. Test patterns are generated by continuously accumulating a constant value and their quality is evaluated in terms of the pseudo-exhaustive state coverage on subspaces of contiguous bits. This new test generation scheme, along with the recently introduced accumulator-based compaction scheme facilitates a BIST strategy for high performance datapath architectures that uses the functionality of existing hardware, is entirely integrated with the circuit under test, and results in at-speed testing with no performance degradation and area overhead.
现有的内置自测(BIST)策略需要使用专门的测试模式生成硬件,这会带来显著的面积开销和性能下降。在本文中,我们提出了一种基于加法器实现测试模式生成器的新方法,加法器广泛应用于数据路径架构和数字信号处理电路中。测试模式是通过不断累积一个恒定值来生成的,测试模式的质量是根据连续位的子空间上的伪穷举状态覆盖率来评估的。这种新的测试生成方案,以及最近引入的基于累加器的压缩方案,促进了高性能数据路径架构的BIST策略,该策略使用现有硬件的功能,与被测电路完全集成,并且在没有性能下降和面积开销的情况下进行高速测试。
{"title":"Test Pattern Generation Based On Arithmetic Operations","authors":"Sanjay Gupta, J. Rajski, J. Tyszer","doi":"10.1109/ICCAD.1994.629753","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629753","url":null,"abstract":"Existing built-in self test (BIST) strategies require the use of specialized test pattern generation hardware which introduces significant area overhead and performance degradation. In this paper, we propose a novel method for implementing test pattern generators based on adders widely available in data-path architectures and digital signal processing circuits. Test patterns are generated by continuously accumulating a constant value and their quality is evaluated in terms of the pseudo-exhaustive state coverage on subspaces of contiguous bits. This new test generation scheme, along with the recently introduced accumulator-based compaction scheme facilitates a BIST strategy for high performance datapath architectures that uses the functionality of existing hardware, is entirely integrated with the circuit under test, and results in at-speed testing with no performance degradation and area overhead.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77107098","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 41
Compression-relaxation: A New Approach To Performance Driven Placement For Regular Architectures 压缩松弛:常规架构中性能驱动布局的新方法
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629755
Anmol Mathur, C. Liu
We present a new iterative algorithm for performance driven placement applicable to regular architectures such as FPGAs. Our algorithm has two phases in each iteration: a compression phase and a relaxation phase. We employ a novel compression strategy based on the longest path tree of a cone for improving the timing performance of a given placement. Compression might cause a feasible placement to become infeasible. The concept of a slack neighborhood graph is introduced and is used in the relaxation phase to transform an infeasible placement to a feasible one using a mincost flow formulation. Our analytical results regarding the bounds on delay increase during relaxation are validated by the rapid convergence of our algorithm on benchmark circuits. We obtain placements that have 13% less critical path delay (on the average) than those generated by the Xilinx automatic place and route tool (apr) on technology mapped MCNC benchmark circuits with significantly less CPU time than apr.
我们提出了一种新的迭代算法,用于性能驱动的放置,适用于常规架构,如fpga。我们的算法在每次迭代中有两个阶段:压缩阶段和松弛阶段。我们采用了一种新的基于锥的最长路径树的压缩策略来提高给定位置的定时性能。压缩可能导致一个可行的位置变得不可行。引入松弛邻域图的概念,并在松弛阶段使用最小代价流公式将不可行的位置转换为可行的位置。我们的算法在基准电路上的快速收敛验证了我们关于松弛期间延迟增加界限的分析结果。我们获得的位置比Xilinx自动放置和路由工具(apr)在技术映射的MCNC基准电路上产生的关键路径延迟(平均)少13%,CPU时间明显少于apr。
{"title":"Compression-relaxation: A New Approach To Performance Driven Placement For Regular Architectures","authors":"Anmol Mathur, C. Liu","doi":"10.1109/ICCAD.1994.629755","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629755","url":null,"abstract":"We present a new iterative algorithm for performance driven placement applicable to regular architectures such as FPGAs. Our algorithm has two phases in each iteration: a compression phase and a relaxation phase. We employ a novel compression strategy based on the longest path tree of a cone for improving the timing performance of a given placement. Compression might cause a feasible placement to become infeasible. The concept of a slack neighborhood graph is introduced and is used in the relaxation phase to transform an infeasible placement to a feasible one using a mincost flow formulation. Our analytical results regarding the bounds on delay increase during relaxation are validated by the rapid convergence of our algorithm on benchmark circuits. We obtain placements that have 13% less critical path delay (on the average) than those generated by the Xilinx automatic place and route tool (apr) on technology mapped MCNC benchmark circuits with significantly less CPU time than apr.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78571389","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
Synthesis Of Hazard-free Multi-level Logic Under Multiple-input Changes From Binary Decision Diagrams 基于二元决策图的多输入变化下的无危险多级逻辑综合
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629874
Bill Lin, S. Devadas
We describe a new method for directly synthesizing a hazard-free multilevel logic implementation from a given logic specification. The method is based on free/ordered Binary Decision Diagrams (BDD's), and is naturally applicable to multiple-output logic functions. Given an incompletely-specified (multiple-output) Boolean function, the method produces a multilevel logic network that is hazard-free for a specified set of multiple-input changes. We assume an arbitrary (unbounded) gate and wire delay model under a pure delay (PD) assumption, we permit multiple-input changes, and we consider both static and dynamic hazards. This problem is generally regarded as a difficult problem and it has important applications in the field of asynchronous design. The method has been automated and applied to a number of examples. The results we have obtained are very promising.
我们描述了一种从给定逻辑规范直接合成无危险多层逻辑实现的新方法。该方法基于自由/有序二元决策图(BDD),自然适用于多输出逻辑函数。给定一个不完全指定的(多输出)布尔函数,该方法生成一个多级逻辑网络,该网络对于指定的多输入更改集是无害的。我们假设在纯延迟(PD)假设下的任意(无界)门和线延迟模型,我们允许多输入变化,并考虑静态和动态危害。该问题被普遍认为是一个难题,在异步设计领域有着重要的应用。该方法已实现自动化,并应用于许多实例。我们得到的结果是很有希望的。
{"title":"Synthesis Of Hazard-free Multi-level Logic Under Multiple-input Changes From Binary Decision Diagrams","authors":"Bill Lin, S. Devadas","doi":"10.1109/ICCAD.1994.629874","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629874","url":null,"abstract":"We describe a new method for directly synthesizing a hazard-free multilevel logic implementation from a given logic specification. The method is based on free/ordered Binary Decision Diagrams (BDD's), and is naturally applicable to multiple-output logic functions. Given an incompletely-specified (multiple-output) Boolean function, the method produces a multilevel logic network that is hazard-free for a specified set of multiple-input changes. We assume an arbitrary (unbounded) gate and wire delay model under a pure delay (PD) assumption, we permit multiple-input changes, and we consider both static and dynamic hazards. This problem is generally regarded as a difficult problem and it has important applications in the field of asynchronous design. The method has been automated and applied to a number of examples. The results we have obtained are very promising.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78493622","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 43
A Specified Delay Accomplishing Clock Router Using Multiple Layers 一种使用多层实现指定延迟的时钟路由器
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629782
M. Seki, Kenji Inoue, Kazuo Kato, K. Tsurusaki, S. Fukasawa, H. Sasaki, M. Aizawa
Clock routing to minimize the clock skew is very necessary to make high performance LSIs. Our clock routing method: (1) realizes the specified delay to each input terminal and provides a zero skew; (2) uses multiple routing layers for pin-to-pin routing; and (3) considers the delay arising from the resistance of a through-hole. Experimental results show that the delay is within 1% error compared to the specified delay and the skew can be controlled within pico second order.
时钟路由,以尽量减少时钟倾斜是非常必要的,使高性能的lsi。我们的时钟路由方法:(1)实现对每个输入端的指定延迟,并提供零倾斜;(2)采用多路由层进行引脚到引脚路由;(3)考虑通孔阻力引起的延迟。实验结果表明,该系统的延迟与指定延迟的误差在1%以内,偏差可以控制在皮秒级以内。
{"title":"A Specified Delay Accomplishing Clock Router Using Multiple Layers","authors":"M. Seki, Kenji Inoue, Kazuo Kato, K. Tsurusaki, S. Fukasawa, H. Sasaki, M. Aizawa","doi":"10.1109/ICCAD.1994.629782","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629782","url":null,"abstract":"Clock routing to minimize the clock skew is very necessary to make high performance LSIs. Our clock routing method: (1) realizes the specified delay to each input terminal and provides a zero skew; (2) uses multiple routing layers for pin-to-pin routing; and (3) considers the delay arising from the resistance of a through-hole. Experimental results show that the delay is within 1% error compared to the specified delay and the skew can be controlled within pico second order.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82271639","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Estimation Of Circuit Activity Considering Signal Correlations And Simultaneous Switching 考虑信号相关和同时交换的电路活度估计
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629784
T. Chou, K. Roy, S. Prasad
This paper presents accurate estimation of signal activity at the internal nodes of CMOS combinational logic circuits. The methodology is based on stochastic model of logic signals and takes correlations and simultaneous switching of signals at logic gate inputs into consideration. In combinational logic synthesis, in order to minimize spurious transitions due to finite propagation delays, it is crucial to balance all signal paths and to reduce the logic depth. As a result of balancing delays through different paths, the inputs to logic gates may switch at approximately the same time. We have developed and implemented an technique to calculate signal probability and switching activity of the CMOS combinational logic circuits. Experimental results show that if simultaneous switching is not considered the switching activities of the internal nodes can be off by more than 100% compared to simulation based techniques. In contrast, our technique is on the average within 2% of logic simulation results.
本文提出了CMOS组合逻辑电路内部节点信号活度的精确估计。该方法基于逻辑信号的随机模型,考虑了逻辑门输入信号的相关性和同时切换。在组合逻辑合成中,为了最大限度地减少由于有限传播延迟引起的杂散转移,平衡所有信号路径和减小逻辑深度是至关重要的。由于通过不同路径平衡延迟,逻辑门的输入可能在大约同一时间切换。我们开发并实现了一种计算CMOS组合逻辑电路的信号概率和开关活度的技术。实验结果表明,如果不考虑同步切换,与基于仿真的技术相比,内部节点的切换活动可以关闭100%以上。相比之下,我们的技术平均在逻辑模拟结果的2%以内。
{"title":"Estimation Of Circuit Activity Considering Signal Correlations And Simultaneous Switching","authors":"T. Chou, K. Roy, S. Prasad","doi":"10.1109/ICCAD.1994.629784","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629784","url":null,"abstract":"This paper presents accurate estimation of signal activity at the internal nodes of CMOS combinational logic circuits. The methodology is based on stochastic model of logic signals and takes correlations and simultaneous switching of signals at logic gate inputs into consideration. In combinational logic synthesis, in order to minimize spurious transitions due to finite propagation delays, it is crucial to balance all signal paths and to reduce the logic depth. As a result of balancing delays through different paths, the inputs to logic gates may switch at approximately the same time. We have developed and implemented an technique to calculate signal probability and switching activity of the CMOS combinational logic circuits. Experimental results show that if simultaneous switching is not considered the switching activities of the internal nodes can be off by more than 100% compared to simulation based techniques. In contrast, our technique is on the average within 2% of logic simulation results.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88870322","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 103
Efficient Implementation Of Retiming 重新计时的有效实现
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629770
Jia Wang, H. Zhou
Retiming is a technique for optimizing sequential circuits. It repositions the registers in a circuit leaving the combinational cells untouched. The objective of retiming is to find a circuit with the minimum number of registers for a specified clock period. More than ten years have elapsed since Leiserson and Saxe first presented a theoretical formulation to solve this problem for single-clock edge-triggered sequential circuits. Their proposed algorithms have polynomial complexity; however naive implementations of these algorithms exhibit O ( n 3 ) time complexitiy and O ( n 2 ) space complexity when applied to digital circuits with n combinational cells. This renders retiming ineffective for circuits with more than 500 combinational cells. This paper addresses the implementation issues required to exploit the sparsity of circuit graphs to allow min-period retiming and constrained min-area retiming to be applied to circuits with as many as 10,000 combinational cells. We believe this is the first paper to address these issues and the first to report retiming results for large circuits.
重定时是一种优化顺序电路的技术。它在电路中重新定位寄存器,使组合单元保持不变。重定时的目的是在指定的时钟周期内找到具有最小寄存器数的电路。自Leiserson和Saxe首次提出解决单时钟边缘触发顺序电路这个问题的理论公式以来,已经过去了十多年。他们提出的算法具有多项式复杂度;然而,当应用于具有n个组合单元的数字电路时,这些算法的幼稚实现表现出O (n3)的时间复杂度和O (n2)的空间复杂度。这使得重定时对于超过500个组合细胞的电路无效。本文解决了利用电线图的稀疏性所需的实现问题,以允许将最小周期重定时和约束最小面积重定时应用于具有多达10,000个组合单元的电路。我们相信这是第一篇解决这些问题的论文,也是第一篇报道大型电路重定时结果的论文。
{"title":"Efficient Implementation Of Retiming","authors":"Jia Wang, H. Zhou","doi":"10.1109/ICCAD.1994.629770","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629770","url":null,"abstract":"Retiming is a technique for optimizing sequential circuits. It repositions the registers in a circuit leaving the combinational cells untouched. The objective of retiming is to find a circuit with the minimum number of registers for a specified clock period. More than ten years have elapsed since Leiserson and Saxe first presented a theoretical formulation to solve this problem for single-clock edge-triggered sequential circuits. Their proposed algorithms have polynomial complexity; however naive implementations of these algorithms exhibit O ( n 3 ) time complexitiy and O ( n 2 ) space complexity when applied to digital circuits with n combinational cells. This renders retiming ineffective for circuits with more than 500 combinational cells. This paper addresses the implementation issues required to exploit the sparsity of circuit graphs to allow min-period retiming and constrained min-area retiming to be applied to circuits with as many as 10,000 combinational cells. We believe this is the first paper to address these issues and the first to report retiming results for large circuits.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89082842","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
Fast Transient Power And Noise Estimation For VLSI Circuits VLSI电路的快速瞬态功率和噪声估计
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629775
W. Eisenmann, H. Graeb
Today's digital design systems are running out of steam, when it comes to meeting the challenges presented by simultaneous switching, power consumption and reliabilty constraints emerging in VLSI circuits. In this paper a new technique to accurately estimate the transient behavior of large CMOS cell-based circuits in a reasonable amount of time is presented. Gate-level simulations and a consistent modeling methodology are employed to compute the time-domain waveforms for signal voltages, supply currents, power consumption and Δ&Igr; noise on power lines. This can be done for circuit blocks and complete designs by our new tool POWTIM, which adds SPICE-like capabilities to digital design standards.
今天的数字设计系统正在失去动力,当涉及到满足同步开关,功耗和可靠性限制在VLSI电路中出现的挑战。本文提出了一种在合理时间内准确估计大型CMOS电池电路瞬态行为的新方法。采用门级仿真和一致的建模方法计算信号电压、电源电流、功耗和Δ&Igr的时域波形;电线上的噪音。这可以通过我们的新工具POWTIM完成电路块和完整设计,它为数字设计标准增加了类似spice的功能。
{"title":"Fast Transient Power And Noise Estimation For VLSI Circuits","authors":"W. Eisenmann, H. Graeb","doi":"10.1109/ICCAD.1994.629775","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629775","url":null,"abstract":"Today's digital design systems are running out of steam, when it comes to meeting the challenges presented by simultaneous switching, power consumption and reliabilty constraints emerging in VLSI circuits. In this paper a new technique to accurately estimate the transient behavior of large CMOS cell-based circuits in a reasonable amount of time is presented. Gate-level simulations and a consistent modeling methodology are employed to compute the time-domain waveforms for signal voltages, supply currents, power consumption and Δ&Igr; noise on power lines. This can be done for circuit blocks and complete designs by our new tool POWTIM, which adds SPICE-like capabilities to digital design standards.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88848430","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Random Pattern Testable Logic Synthesis 随机模式可测试逻辑综合
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629754
Chen-Huan Chiang, S. Gupta
Previous procedures for synthesis of testable logic guarantee that all faults in the synthesized circuits are detectable. However, the detectability of many faults in these circuits can be very low leading to poor random pattern testability. A new procedure to perform logic synthesis that synthesizes random pattern testable multilevel circuits is proposed. Experimental results show that the circuits synthesized by the proposed procedure tstfx are significantly more random pattern testable and smaller than those synthesized using its counterpart fast_extract (fx) in SIS. The proposed synthesis procedure design circuits that require only simple random pattern generators in built-in self-test, thereby obviating the need for complex BIST circuitry.
先前的可测试逻辑合成程序保证了合成电路中的所有故障都是可检测的。然而,在这些电路中,许多故障的可检测性很低,导致随机模式的可测试性很差。提出了一种合成随机模式可测试多电平电路的逻辑合成新方法。实验结果表明,与在SIS中使用fast_extract (fx)合成的电路相比,采用tstfx方法合成的电路具有更强的随机模式可测试性和更小的电路。所提出的合成程序设计电路只需要简单的随机模式生成器内置自检,从而避免了复杂的BIST电路的需要。
{"title":"Random Pattern Testable Logic Synthesis","authors":"Chen-Huan Chiang, S. Gupta","doi":"10.1109/ICCAD.1994.629754","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629754","url":null,"abstract":"Previous procedures for synthesis of testable logic guarantee that all faults in the synthesized circuits are detectable. However, the detectability of many faults in these circuits can be very low leading to poor random pattern testability. A new procedure to perform logic synthesis that synthesizes random pattern testable multilevel circuits is proposed. Experimental results show that the circuits synthesized by the proposed procedure tstfx are significantly more random pattern testable and smaller than those synthesized using its counterpart fast_extract (fx) in SIS. The proposed synthesis procedure design circuits that require only simple random pattern generators in built-in self-test, thereby obviating the need for complex BIST circuitry.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83742732","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 27
A New Approach For Factorizing FSM's 一种分解FSM的新方法
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629898
C. Mohan, P. Chakrabarti
Exact Factors as defined in [2], if present in an FSM can result in most effective way of factorization. However, it has been found that most of the FSM's are not exact factorizable. In this paper, we have suggested a method of making FSM's exact factorizable by minor changes in the next state space while maintaining the functionality of the FSM. We have also developed a new combined state assignment algorithm for state encoding of Factored and Factoring FSM's. Experimental results on MCNC benchmark examples, after running MISII on the Original FSM, Factored FSM and Factoring FSM have shown a reduction of 40% in the worst case signal delay through the circuit in a multilevel implementation. The total number of literals, on an average is the same after factorization as that obtained by running MISII on the original FSM. For two-level implementation, our method has been able to factorize Benchmark FSM's with a 14% average increase in overall areas, while the areas of combinational components of Factored and Factoring FSM's have been found to be significantly less than the area of the combinational component of the original FSM.
在[2]中定义的精确因子,如果存在于FSM中,可以导致最有效的因式分解。然而,已经发现大多数FSM是不能被精确分解的。在本文中,我们提出了一种方法,在保持FSM的功能的同时,通过在下一个状态空间中的微小变化使FSM的精确可因式分解。针对因式FSM和因式FSM的状态编码,提出了一种新的组合状态分配算法。在MCNC基准示例上的实验结果表明,在原始FSM、因子FSM和因子FSM上运行MISII后,通过该电路在多级实现中减少了40%的最坏情况下的信号延迟。平均而言,分解后的字面量总数与在原始FSM上运行MISII获得的总数相同。对于两级实现,我们的方法已经能够分解基准FSM的总体面积平均增加14%,而因子和因子FSM的组合组件的面积已被发现明显小于原始FSM的组合组件的面积。
{"title":"A New Approach For Factorizing FSM's","authors":"C. Mohan, P. Chakrabarti","doi":"10.1109/ICCAD.1994.629898","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629898","url":null,"abstract":"Exact Factors as defined in [2], if present in an FSM can result in most effective way of factorization. However, it has been found that most of the FSM's are not exact factorizable. In this paper, we have suggested a method of making FSM's exact factorizable by minor changes in the next state space while maintaining the functionality of the FSM. We have also developed a new combined state assignment algorithm for state encoding of Factored and Factoring FSM's. Experimental results on MCNC benchmark examples, after running MISII on the Original FSM, Factored FSM and Factoring FSM have shown a reduction of 40% in the worst case signal delay through the circuit in a multilevel implementation. The total number of literals, on an average is the same after factorization as that obtained by running MISII on the original FSM. For two-level implementation, our method has been able to factorize Benchmark FSM's with a 14% average increase in overall areas, while the areas of combinational components of Factored and Factoring FSM's have been found to be significantly less than the area of the combinational component of the original FSM.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88736925","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
期刊
ICCAD. IEEE/ACM International Conference on Computer-Aided Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1