首页 > 最新文献

ICCAD. IEEE/ACM International Conference on Computer-Aided Design最新文献

英文 中文
Multiway partitioning with pairwise movement 具有成对移动的多路分区
Pub Date : 1998-11-01 DOI: 10.1145/288548.289079
J. Cong, S. Lim
It is well known that the recursive bipartitioning approach outperforms the direct non-recursive approach in solving the multiway partitioning problem. However, little progress has been made to identify and overcome the weakness of the direct (alternatively called flat) approach. We make the first observation that the performance of iterative improvement based flat multiway partitioner K-FM (L.A. Sanchis, 1989; 1993) is not suitable for today's large scale circuits. Then, we propose a simple yet effective hill climbing method called PM (Pairwise cell Movement) that overcomes the limitation of K-FM and provides partitioners the capability to explore wider range of solution space effectively while ensuring convergence to satisfying suboptimal solutions. The main idea is to reduce the multiway partitioning problem to sets of concurrent bipartitioning problems. Starting with an initial multiway partition of the netlist, we apply 2-way FM (C. Fiduccia and R. Mattheyses, 1982) to pairs of blocks so as to improve the quality of overall multiway partitioning solution. The pairing of blocks is based on the gain of the last pass, and the Pairwise cell Movement (PM) passes continue until no further gain can be obtained. We observe that PM passes are effective in distributing clusters evenly into multiple blocks to minimize the connections across the multiway cutlines. Our iterative improvement based flat multiway partitioner K-PM/LR improves K-FM by a surprising average margin of up to 86.2% and outperforms its counterpart recursive FIM by up to 17.3% when tested on MCNC and large scale ISPD98 benchmark circuits (C.J. Alpert, 1998).
众所周知,递归双分区方法在解决多路分区问题方面优于直接非递归方法。然而,在识别和克服直接(或称为平坦)方法的弱点方面几乎没有取得进展。我们首次观察到基于平面多路分区K-FM的迭代改进的性能(L.A. Sanchis, 1989;(1993)不适合今天的大规模电路。然后,我们提出了一种简单而有效的爬坡方法,称为PM (Pairwise cell Movement),它克服了K-FM的局限性,并为分区者提供了有效探索更大范围解空间的能力,同时确保收敛到满足次优解。其主要思想是将多路分区问题简化为并发双分区问题集。从网络列表的初始多路分区开始,我们将2-way FM (C. Fiduccia和R. Mattheyses, 1982)应用于对块,以提高整体多路分区解决方案的质量。块的配对是基于最后一次通过的增益,并且成对的单元移动(PM)通过继续,直到没有进一步的增益可以获得。我们观察到PM通道可以有效地将集群均匀地分布到多个块中,以最小化跨多路切线的连接。我们基于平面多路分割器K-PM/LR的迭代改进,在MCNC和大规模ISPD98基准电路上测试时,K-FM的平均改进幅度高达86.2%,比对应的递归FIM的性能高出17.3% (C.J. Alpert, 1998)。
{"title":"Multiway partitioning with pairwise movement","authors":"J. Cong, S. Lim","doi":"10.1145/288548.289079","DOIUrl":"https://doi.org/10.1145/288548.289079","url":null,"abstract":"It is well known that the recursive bipartitioning approach outperforms the direct non-recursive approach in solving the multiway partitioning problem. However, little progress has been made to identify and overcome the weakness of the direct (alternatively called flat) approach. We make the first observation that the performance of iterative improvement based flat multiway partitioner K-FM (L.A. Sanchis, 1989; 1993) is not suitable for today's large scale circuits. Then, we propose a simple yet effective hill climbing method called PM (Pairwise cell Movement) that overcomes the limitation of K-FM and provides partitioners the capability to explore wider range of solution space effectively while ensuring convergence to satisfying suboptimal solutions. The main idea is to reduce the multiway partitioning problem to sets of concurrent bipartitioning problems. Starting with an initial multiway partition of the netlist, we apply 2-way FM (C. Fiduccia and R. Mattheyses, 1982) to pairs of blocks so as to improve the quality of overall multiway partitioning solution. The pairing of blocks is based on the gain of the last pass, and the Pairwise cell Movement (PM) passes continue until no further gain can be obtained. We observe that PM passes are effective in distributing clusters evenly into multiple blocks to minimize the connections across the multiway cutlines. Our iterative improvement based flat multiway partitioner K-PM/LR improves K-FM by a surprising average margin of up to 86.2% and outperforms its counterpart recursive FIM by up to 17.3% when tested on MCNC and large scale ISPD98 benchmark circuits (C.J. Alpert, 1998).","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1145/288548.289079","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72388257","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 85
Dynamic power management of electronic systems 电子系统的动态电源管理
Pub Date : 1998-11-01 DOI: 10.1145/288548.289120
L. Benini, A. Bogliolo, G. Micheli
Dynamic power management is a design methodology aiming at controlling performance and power levels of digital circuits and systems, with the goal of extending the autonomous operation time of battery-powered systems, providing graceful performance degradation when supply energy is limited, and adapting power dissipation to satisfy environmental constraints.
动态电源管理是一种旨在控制数字电路和系统的性能和功率水平的设计方法,其目标是延长电池供电系统的自主运行时间,在供电能量有限的情况下提供优雅的性能下降,并调整功耗以满足环境约束。
{"title":"Dynamic power management of electronic systems","authors":"L. Benini, A. Bogliolo, G. Micheli","doi":"10.1145/288548.289120","DOIUrl":"https://doi.org/10.1145/288548.289120","url":null,"abstract":"Dynamic power management is a design methodology aiming at controlling performance and power levels of digital circuits and systems, with the goal of extending the autonomous operation time of battery-powered systems, providing graceful performance degradation when supply energy is limited, and adapting power dissipation to satisfy environmental constraints.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73338386","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 37
Synthesis of application specific instructions for embedded DSP software 嵌入式DSP软件专用指令的合成
Pub Date : 1998-11-01 DOI: 10.1145/288548.289109
Hoon Choi, Jong-Sun Kim, C. Yoon, I. Park, S. Hwang, C. Kyung
Application specific instructions play an important role in reducing the required code size and increasing performance in embedded DSP systems. This paper describes a new approach to generate application specific instructions for DSP applications. The proposed approach is based on a modified subset-sum problem and supports multicycle complex instructions, as well as single-cycle instructions, while the previous state-of-the-art approaches generate only the single-cycle instructions or just select instructions from the fixed super-set of possible instructions. In addition, the proposed approach can also be applied to the case that instructions are predefined. Experimental results on real applications show that Various given constraints can be met by the generated set of application specific instructions without attaching special hardware accelerators.
在嵌入式DSP系统中,应用专用指令在减少所需代码大小和提高性能方面起着重要作用。本文介绍了一种为DSP应用生成专用指令的新方法。该方法基于改进的子集和问题,支持多周期复杂指令和单周期指令,而以前最先进的方法仅生成单周期指令或仅从固定的可能指令超集中选择指令。此外,所提出的方法也适用于指令预定义的情况。实际应用的实验结果表明,生成的特定应用指令集可以满足给定的各种约束条件,而无需附加特殊的硬件加速器。
{"title":"Synthesis of application specific instructions for embedded DSP software","authors":"Hoon Choi, Jong-Sun Kim, C. Yoon, I. Park, S. Hwang, C. Kyung","doi":"10.1145/288548.289109","DOIUrl":"https://doi.org/10.1145/288548.289109","url":null,"abstract":"Application specific instructions play an important role in reducing the required code size and increasing performance in embedded DSP systems. This paper describes a new approach to generate application specific instructions for DSP applications. The proposed approach is based on a modified subset-sum problem and supports multicycle complex instructions, as well as single-cycle instructions, while the previous state-of-the-art approaches generate only the single-cycle instructions or just select instructions from the fixed super-set of possible instructions. In addition, the proposed approach can also be applied to the case that instructions are predefined. Experimental results on real applications show that Various given constraints can be met by the generated set of application specific instructions without attaching special hardware accelerators.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81920298","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 90
A linear optimal test generation algorithm for interconnect testing 一种用于互连测试的线性最优测试生成算法
Pub Date : 1998-11-01 DOI: 10.1145/288548.288626
C. Su
A linear optimal test generation algorithm is proposed to decompose serial test vectors into segments with one for each driver. Each driver is assigned a serial vector with two or more transitions for the detection of net and driver faults. As compared to the conventional counting and transition sequences, the reduction is up to 20% for buses and 36% for general networks.
提出了一种线性最优测试生成算法,将串行测试向量分解为多个片段,每个片段对应一个驱动程序。每个驱动器被分配一个串行向量,其中有两个或多个转换,用于检测网络和驱动器故障。与传统的计数和转换序列相比,总线减少了20%,一般网络减少了36%。
{"title":"A linear optimal test generation algorithm for interconnect testing","authors":"C. Su","doi":"10.1145/288548.288626","DOIUrl":"https://doi.org/10.1145/288548.288626","url":null,"abstract":"A linear optimal test generation algorithm is proposed to decompose serial test vectors into segments with one for each driver. Each driver is assigned a serial vector with two or more transitions for the detection of net and driver faults. As compared to the conventional counting and transition sequences, the reduction is up to 20% for buses and 36% for general networks.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76838647","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Embedded memories in system design - from technology to systems architecture 系统设计中的嵌入式存储器——从技术到系统架构
Pub Date : 1998-11-01 DOI: 10.1145/288548.288549
S. Hein, V. Nagasamy, B. Rohfleisch, C. Kozyrakis, N. Dutt, F. Catthoor
Summary form only given. The term system-on-silicon has been used to denote the integration of random logic, processor cores, SRAMs, ROMs, and analog ccimponents on the same die. But up to recently, one major component had been missing: high-density DRAMS. Today?s technologies allow the integration of such as data buffering, picture storage, and prograddata storage. In quarter-micron technology, chips with up to 128 Mbit of DRAM and 500 kgates of logic are eminently feasible. This enlarges the system design space tremendously since system architects arc no more restricted to standard commodity DRAMS. We will discuss the market for embedded DRAM applications as well as the associated challenges.
只提供摘要形式。术语“单片系统”已被用来表示随机逻辑、处理器核心、sram、rom和模拟元件在同一芯片上的集成。但直到最近,还有一个主要部件一直没有出现:高密度dram。今天好吗?S技术允许集成数据缓冲、图像存储和进程数据存储等功能。在四分之一微米技术中,具有128mbit DRAM和500kgates逻辑的芯片是非常可行的。这极大地扩大了系统设计空间,因为系统架构师不再局限于标准的商用dram。我们将讨论嵌入式DRAM应用的市场以及相关的挑战。
{"title":"Embedded memories in system design - from technology to systems architecture","authors":"S. Hein, V. Nagasamy, B. Rohfleisch, C. Kozyrakis, N. Dutt, F. Catthoor","doi":"10.1145/288548.288549","DOIUrl":"https://doi.org/10.1145/288548.288549","url":null,"abstract":"Summary form only given. The term system-on-silicon has been used to denote the integration of random logic, processor cores, SRAMs, ROMs, and analog ccimponents on the same die. But up to recently, one major component had been missing: high-density DRAMS. Today?s technologies allow the integration of such as data buffering, picture storage, and prograddata storage. In quarter-micron technology, chips with up to 128 Mbit of DRAM and 500 kgates of logic are eminently feasible. This enlarges the system design space tremendously since system architects arc no more restricted to standard commodity DRAMS. We will discuss the market for embedded DRAM applications as well as the associated challenges.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78626176","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Interface synthesis: a vertical slice from digital logic to software components 接口合成:从数字逻辑到软件组件的垂直切片
Pub Date : 1998-11-01 DOI: 10.1145/288548.289119
G. Borriello, L. Lavagno, R. Ortega
Interface synthesis seeks to automate the process of interconnecting components. There are many levels of interconnection that must be considered including electrical, power, logic, register-transfer, device drivers, and higher software levels. This presentation will cover a vertical slice of the interfacing problem from digital logic up to coordinating communications between software components. The focus will be within an embedded systems context where the interfacing is between processors and memory and peripheral blocks as is the case in system-on-a-chip design. The structure of the tutorial will parallel the history of CAD efforts in this area. We will begin with the early work in interface specification and logic synthesis then proceed on to the problems of interconnecting hardware to processors and their software, and finish with purely software interfaces involving inter-process communication and protocols between multiple processors. At each level we will discuss specification, synthesis, and verification aspects as well as highlight the currently available tools and on-going research efforts.
接口合成寻求自动化互连组件的过程。必须考虑许多层次的互连,包括电气、电源、逻辑、寄存器传输、设备驱动程序和更高的软件层次。本演讲将涵盖接口问题的垂直部分,从数字逻辑到软件组件之间的协调通信。重点将放在嵌入式系统环境中,其中处理器、存储器和外围模块之间的接口就像片上系统设计中的情况一样。本教程的结构将平行于这一领域CAD工作的历史。我们将从接口规范和逻辑综合的早期工作开始,然后继续讨论将硬件与处理器及其软件互连的问题,并以涉及多个处理器之间的进程间通信和协议的纯软件接口结束。在每个层次上,我们将讨论规范、综合和验证方面,并强调当前可用的工具和正在进行的研究工作。
{"title":"Interface synthesis: a vertical slice from digital logic to software components","authors":"G. Borriello, L. Lavagno, R. Ortega","doi":"10.1145/288548.289119","DOIUrl":"https://doi.org/10.1145/288548.289119","url":null,"abstract":"Interface synthesis seeks to automate the process of interconnecting components. There are many levels of interconnection that must be considered including electrical, power, logic, register-transfer, device drivers, and higher software levels. This presentation will cover a vertical slice of the interfacing problem from digital logic up to coordinating communications between software components. The focus will be within an embedded systems context where the interfacing is between processors and memory and peripheral blocks as is the case in system-on-a-chip design. The structure of the tutorial will parallel the history of CAD efforts in this area. We will begin with the early work in interface specification and logic synthesis then proceed on to the problems of interconnecting hardware to processors and their software, and finish with purely software interfaces involving inter-process communication and protocols between multiple processors. At each level we will discuss specification, synthesis, and verification aspects as well as highlight the currently available tools and on-going research efforts.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85510065","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
Integrating logic retiming and register placement 集成逻辑重定时和寄存器放置
Pub Date : 1998-11-01 DOI: 10.1145/288548.288591
Tzu-Chieh Tien, Hsiao-Pin Su, Yu-Wen Tsay, Yih-Chih Chou, Y. Lin
Retiming relocates registers in a circuit to shorten the clock cycle time. In deep sub-micron era, conventional pre-layout retiming cannot work properly because of dominant interconnection delay that is not available before layout. Although some retiming algorithms incorporating interconnection delay have been proposed, layout information is still not utilized effectively nor efficiently. Retiming and layout is combined for the first time in this paper. We present heuristics for two key problems: interconnection delay estimation and post-retiming incremental placement. An efficient retiming algorithm incorporating interconnection delay is also proposed. Experimental results show that on the average we can improve the circuit speed by 5.4% targeted toward a 0.52 /spl mu/m CMOS technology. Scaling down the technology to 0.1 /spl mu/m, as much as 25.6% improvement have been achieved.
重定时重新定位电路中的寄存器以缩短时钟周期时间。在深亚微米时代,由于布局前无法获得优势互联延迟,传统的布局前重定时无法正常工作。虽然已经提出了一些包含互连延迟的重定时算法,但仍然没有有效地利用布局信息。本文首次将重定时与布局相结合。我们提出了两个关键问题的启发式方法:互连延迟估计和重新定时后的增量放置。同时,提出了一种考虑互连延迟的高效重定时算法。实验结果表明,采用0.52 /spl mu/m的CMOS技术,电路速度平均可提高5.4%。将该技术缩小到0.1 /spl mu/m,实现了高达25.6%的改进。
{"title":"Integrating logic retiming and register placement","authors":"Tzu-Chieh Tien, Hsiao-Pin Su, Yu-Wen Tsay, Yih-Chih Chou, Y. Lin","doi":"10.1145/288548.288591","DOIUrl":"https://doi.org/10.1145/288548.288591","url":null,"abstract":"Retiming relocates registers in a circuit to shorten the clock cycle time. In deep sub-micron era, conventional pre-layout retiming cannot work properly because of dominant interconnection delay that is not available before layout. Although some retiming algorithms incorporating interconnection delay have been proposed, layout information is still not utilized effectively nor efficiently. Retiming and layout is combined for the first time in this paper. We present heuristics for two key problems: interconnection delay estimation and post-retiming incremental placement. An efficient retiming algorithm incorporating interconnection delay is also proposed. Experimental results show that on the average we can improve the circuit speed by 5.4% targeted toward a 0.52 /spl mu/m CMOS technology. Scaling down the technology to 0.1 /spl mu/m, as much as 25.6% improvement have been achieved.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80987215","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
How will CAD handle billion-transistor systems? (panel) CAD将如何处理十亿晶体管系统?(面板)
Pub Date : 1998-11-01 DOI: 10.1109/ICCAD.1998.742799
R. Aitken, J. Cong, Randy Harr, K. Shepard, W. Wolf
Summary form only given, as follows. The SIA National Technology Roadmap and Ivloore?s Law both predict that logic chips containing one billion transistors will ship by the year 2010. This panel will explore the challenges awaiting the CAD industry as we move toward such huge chip:;. These chips will almost certainly include a variety of technologies, including logic, microprocessor cores, buses, static and dynamic memory, analog circuitry, and possibly micromechanical devices. Challenges loom at all levels of system abstraction, from artwork to architecture, and all aspects of CAD, from data management to algorithms. The panelists, whose expertise ranges from interconnect and noise through system-level synthesis, will begin by summarizing these CAD challenges and identifying key areas where contributions are needed, and then discuss promising research directions.
仅给出摘要形式,如下。SIA国家技术路线图和Ivloore?两人都预测,到2010年,包含10亿个晶体管的逻辑芯片将出货。这个小组将探讨等待CAD行业的挑战,因为我们走向如此巨大的芯片:;。这些芯片几乎肯定会包含各种技术,包括逻辑、微处理器核心、总线、静态和动态存储器、模拟电路,可能还有微机械设备。挑战出现在系统抽象的各个层面,从艺术品到建筑,以及CAD的各个方面,从数据管理到算法。小组成员的专业范围从互连和噪声到系统级合成,他们将首先总结这些CAD挑战,并确定需要贡献的关键领域,然后讨论有前途的研究方向。
{"title":"How will CAD handle billion-transistor systems? (panel)","authors":"R. Aitken, J. Cong, Randy Harr, K. Shepard, W. Wolf","doi":"10.1109/ICCAD.1998.742799","DOIUrl":"https://doi.org/10.1109/ICCAD.1998.742799","url":null,"abstract":"Summary form only given, as follows. The SIA National Technology Roadmap and Ivloore?s Law both predict that logic chips containing one billion transistors will ship by the year 2010. This panel will explore the challenges awaiting the CAD industry as we move toward such huge chip:;. These chips will almost certainly include a variety of technologies, including logic, microprocessor cores, buses, static and dynamic memory, analog circuitry, and possibly micromechanical devices. Challenges loom at all levels of system abstraction, from artwork to architecture, and all aspects of CAD, from data management to algorithms. The panelists, whose expertise ranges from interconnect and noise through system-level synthesis, will begin by summarizing these CAD challenges and identifying key areas where contributions are needed, and then discuss promising research directions.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73192669","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
High-level design validation and test 高级设计验证和测试
Pub Date : 1998-11-01 DOI: 10.1109/ICCAD.1998.742797
S. Dey, J. Abraham, Y. Zorian
Description: To meet aggressive design cycle, complexity, and productivity requirements, more electronic systems than before are being specified and designed at higher levels of abstraction, involve embedded processors and other programmable components, and achieve design re-use vith hard~vareand sofivare components. k order not to compromise the productivity gains obtained by component-based systems, verification and test should be addressed early in the design cycle. This tutorird addresses the challenges, proposed methodologies, and current industrird practices in verification and test of components and component-based systems at higher levels of abstraction.
描述:为了满足激进的设计周期、复杂性和生产力要求,比以前更多的电子系统被指定和设计在更高的抽象层次上,涉及嵌入式处理器和其他可编程组件,并实现设计重用与硬变量和软件组件。为了不损害基于组件的系统所获得的生产力收益,应该在设计周期的早期处理验证和测试。本教程讨论了在更高抽象层次上的组件和基于组件的系统的验证和测试中的挑战、建议的方法和当前的工业实践。
{"title":"High-level design validation and test","authors":"S. Dey, J. Abraham, Y. Zorian","doi":"10.1109/ICCAD.1998.742797","DOIUrl":"https://doi.org/10.1109/ICCAD.1998.742797","url":null,"abstract":"Description: To meet aggressive design cycle, complexity, and productivity requirements, more electronic systems than before are being specified and designed at higher levels of abstraction, involve embedded processors and other programmable components, and achieve design re-use vith hard~vareand sofivare components. k order not to compromise the productivity gains obtained by component-based systems, verification and test should be addressed early in the design cycle. This tutorird addresses the challenges, proposed methodologies, and current industrird practices in verification and test of components and component-based systems at higher levels of abstraction.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76799801","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Using a single input to support multiple scan chains 使用单个输入来支持多个扫描链
Pub Date : 1998-11-01 DOI: 10.1145/288548.288563
Kuen-Jong Lee, Jih-Jeen Chen, Cheng-Hua Huang
Single scan chain architectures suffer from long test application time, while multiple scan chain architectures require large pin overhead and are not supported by boundary scan. We present a novel method to allow a single input line to support multiple scan chains. By appropriately connecting the inputs of all circuits under test during ATPG process such that the generated test patterns can be broadcast to all scan chains when actual testing is executed, we show that 177 and 280 test patterns are enough to detect all detectable faults in all 10 ISCAS'85 combinational circuits and 10 largest ISCAS'89 sequential circuits, respectively.
单扫描链架构的测试应用时间长,而多扫描链架构需要较大的引脚开销,并且不支持边界扫描。我们提出了一种新颖的方法,允许单个输入行支持多个扫描链。通过在ATPG过程中适当连接所有被测电路的输入,使生成的测试模式可以在执行实际测试时广播到所有扫描链,我们发现177和280个测试模式足以检测所有10个ISCAS'85组合电路和10个最大的ISCAS'89顺序电路中的所有可检测故障。
{"title":"Using a single input to support multiple scan chains","authors":"Kuen-Jong Lee, Jih-Jeen Chen, Cheng-Hua Huang","doi":"10.1145/288548.288563","DOIUrl":"https://doi.org/10.1145/288548.288563","url":null,"abstract":"Single scan chain architectures suffer from long test application time, while multiple scan chain architectures require large pin overhead and are not supported by boundary scan. We present a novel method to allow a single input line to support multiple scan chains. By appropriately connecting the inputs of all circuits under test during ATPG process such that the generated test patterns can be broadcast to all scan chains when actual testing is executed, we show that 177 and 280 test patterns are enough to detect all detectable faults in all 10 ISCAS'85 combinational circuits and 10 largest ISCAS'89 sequential circuits, respectively.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77393131","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 198
期刊
ICCAD. IEEE/ACM International Conference on Computer-Aided Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1