首页 > 最新文献

Journal of Micro/Nanolithography, MEMS, and MOEMS最新文献

英文 中文
High-power EUV lithography: spectral purity and imaging performance 高功率EUV光刻:光谱纯度和成像性能
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2020-07-01 DOI: 10.1117/1.JMM.19.3.033801
M. A. van de Kerkhof, Fei Liu, M. Meeuwissen, Xueqing Zhang, M. Bayraktar, R. de Kruif, N. Davydova
Abstract. With the introduction of the NXE:3400B scanner, ASML has brought extreme ultraviolet lithography (EUV) to high-volume manufacturing (HVM). The high-EUV power of >200  W being realized with this system satisfies the throughput requirements of HVM, but also requires reconsideration of the imaging aspects of spectral purity, both from the details of the EUV emission spectrum and from the deep-ultraviolet (DUV) emission. We present simulation and experimental results for the spectral purity of high-power EUV systems and the imaging impact of this, both for the case of with and without a pellicle. Also, possible controls for spectral purity will be discussed, and an innovative method will be described to measure imaging impact of varying conversion efficiency (CE) and DUV. It will be shown that CE optimization toward higher source power leads to reduction in relative DUV content, and the small deltas in EUV source spectrum for higher power do not influence imaging. It will also be shown that resulting variations in DUV do not affect imaging performance significantly, provided that a suitable reticle black border is used. In summary, spectral purity performance is found to enable current and upcoming nodes of EUV lithography and to not be a bottleneck for further increasing power of EUV systems to well above 250 W.
摘要随着NXE:3400B扫描仪的推出,ASML将极紫外光刻技术(EUV)引入了大批量制造(HVM)。该系统实现的>200 W的高EUV功率满足了HVM的吞吐量要求,但也需要从光谱纯度的成像方面重新考虑,无论是从EUV发射光谱的细节还是从深紫外(DUV)发射。我们给出了高功率EUV系统的光谱纯度的模拟和实验结果,以及在有和没有薄膜的情况下对成像的影响。此外,还将讨论可能的光谱纯度控制,并描述一种创新的方法来测量不同转换效率(CE)和DUV对成像的影响。结果表明,高功率的CE优化导致相对DUV含量的降低,高功率的EUV源光谱中的小delta不影响成像。这也将表明,在DUV产生的变化不影响成像性能显著,提供了一个合适的网线黑色边框是使用。综上所述,我们发现光谱纯度性能能够实现当前和未来的EUV光刻节点,并且不会成为进一步将EUV系统功率提高到250 W以上的瓶颈。
{"title":"High-power EUV lithography: spectral purity and imaging performance","authors":"M. A. van de Kerkhof, Fei Liu, M. Meeuwissen, Xueqing Zhang, M. Bayraktar, R. de Kruif, N. Davydova","doi":"10.1117/1.JMM.19.3.033801","DOIUrl":"https://doi.org/10.1117/1.JMM.19.3.033801","url":null,"abstract":"Abstract. With the introduction of the NXE:3400B scanner, ASML has brought extreme ultraviolet lithography (EUV) to high-volume manufacturing (HVM). The high-EUV power of >200  W being realized with this system satisfies the throughput requirements of HVM, but also requires reconsideration of the imaging aspects of spectral purity, both from the details of the EUV emission spectrum and from the deep-ultraviolet (DUV) emission. We present simulation and experimental results for the spectral purity of high-power EUV systems and the imaging impact of this, both for the case of with and without a pellicle. Also, possible controls for spectral purity will be discussed, and an innovative method will be described to measure imaging impact of varying conversion efficiency (CE) and DUV. It will be shown that CE optimization toward higher source power leads to reduction in relative DUV content, and the small deltas in EUV source spectrum for higher power do not influence imaging. It will also be shown that resulting variations in DUV do not affect imaging performance significantly, provided that a suitable reticle black border is used. In summary, spectral purity performance is found to enable current and upcoming nodes of EUV lithography and to not be a bottleneck for further increasing power of EUV systems to well above 250 W.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"11 1","pages":"033801 - 033801"},"PeriodicalIF":2.3,"publicationDate":"2020-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73226339","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Investigating extreme ultraviolet radiation chemistry with first-principles quantum chemistry calculations 用第一性原理量子化学计算研究极紫外辐射化学
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2020-07-01 DOI: 10.1117/1.JMM.19.3.034601
Jonathan H. Ma, Han Wang, D. Prendergast, A. Neureuther, P. Naulleau
Abstract. In extreme ultraviolet (EUV) lithography, chemistry is driven by secondary electrons. A deeper understanding of these processes is needed. However, electron-driven processes are inherently difficult to experimentally characterize for EUV materials, impeding targeted material engineering. A computational framework is needed to provide information for rational material engineering and identification at a molecular level. We demonstrate that density functional theory calculations can fulfill this purpose. We first demonstrate that primary electron energy spectrum can be predicted accurately. Second, the dynamics of a photoacid generator upon excitation or electron attachment are studied with ab-initio molecular dynamics calculations. Third, we demonstrate that electron attachment affinity is a good predictor of reduction potential and dose to clear. The correlation between such calculations and experiments suggests that these methods can be applied to computationally screen and design molecular components of EUV material and speed up the development process.
摘要在极紫外(EUV)光刻中,化学是由次级电子驱动的。需要对这些过程有更深入的了解。然而,电子驱动过程本身就难以通过实验表征EUV材料,阻碍了目标材料工程。需要一个计算框架来为合理的材料工程和分子水平的识别提供信息。我们证明密度泛函理论计算可以实现这一目的。我们首先证明了一次电子能谱是可以准确预测的。其次,用从头算分子动力学方法研究了光酸发生器在激发或电子附着时的动力学。第三,我们证明了电子附着亲和力是还原电位和清除剂量的良好预测因子。这些计算和实验之间的相关性表明,这些方法可以应用于计算筛选和设计极紫外光材料的分子组分,并加快开发过程。
{"title":"Investigating extreme ultraviolet radiation chemistry with first-principles quantum chemistry calculations","authors":"Jonathan H. Ma, Han Wang, D. Prendergast, A. Neureuther, P. Naulleau","doi":"10.1117/1.JMM.19.3.034601","DOIUrl":"https://doi.org/10.1117/1.JMM.19.3.034601","url":null,"abstract":"Abstract. In extreme ultraviolet (EUV) lithography, chemistry is driven by secondary electrons. A deeper understanding of these processes is needed. However, electron-driven processes are inherently difficult to experimentally characterize for EUV materials, impeding targeted material engineering. A computational framework is needed to provide information for rational material engineering and identification at a molecular level. We demonstrate that density functional theory calculations can fulfill this purpose. We first demonstrate that primary electron energy spectrum can be predicted accurately. Second, the dynamics of a photoacid generator upon excitation or electron attachment are studied with ab-initio molecular dynamics calculations. Third, we demonstrate that electron attachment affinity is a good predictor of reduction potential and dose to clear. The correlation between such calculations and experiments suggests that these methods can be applied to computationally screen and design molecular components of EUV material and speed up the development process.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"116 1","pages":"034601 - 034601"},"PeriodicalIF":2.3,"publicationDate":"2020-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79044274","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Construction of complex logic circuit based on nanoparticles 基于纳米粒子的复杂逻辑电路的构建
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2020-07-01 DOI: 10.1117/1.JMM.19.3.034801
Zhao Chen, Zhixiang Yin, Zhen Tang, Qiang Zhang
Abstract. Background: Molecular logic circuits have great potential applications. DNA logic circuit is an important research direction of DNA computing in nanotechnology. DNA self-assembly has become a powerful tool for building nanoscale structures. The combination of different self-assembly methods is an interesting topic. Aim: Two different self-assembly methods are combined to realize large-scale logic circuit. A basic logical unit is extended to complex logic circuits by self-assembly. Approach: The complex logic circuit is solved by combining nanoparticles. One DNA strand attached to nanoparticle maps to a logical unit. Just as the combination between logical units can form logic circuits, the combination between nanoparticles can be used to structure logic circuits. On a larger-scale logic circuits, this is done by attaching the assembled nanoparticles to an origami template. Different logical values are mapped into different DNA initiators. Results: After the reaction is over, the nanoparticles are dynamically separated from the DNA origami template, indicating that the result is true. The nanoparticles remain on the DNA origami template, indicating that the result is false. The simulation results show that this self-assembly model is highly feasible for complex logic circuits. Conclusions: The model combines two different self-assembly methods to realize large-scale logic circuits. Compared with previous models, this model implements a larger logic circuit on one origami template. This method can be used to construct more complex nanosystems and may have potential applications in molecular engineering.
摘要背景:分子逻辑电路具有广阔的应用前景。DNA逻辑电路是纳米技术中DNA计算的一个重要研究方向。DNA自组装已成为构建纳米级结构的有力工具。不同自组装方法的组合是一个有趣的话题。目的:结合两种不同的自组装方法实现大规模逻辑电路。一个基本的逻辑单元通过自组装扩展到复杂的逻辑电路。方法:采用纳米粒子组合的方法解决复杂的逻辑电路。附在纳米粒子上的一条DNA链映射为一个逻辑单元。正如逻辑单元之间的组合可以形成逻辑电路一样,纳米颗粒之间的组合可以用来构造逻辑电路。在更大规模的逻辑电路中,这是通过将组装好的纳米粒子附着在折纸模板上完成的。不同的逻辑值被映射到不同的DNA启动器。结果:反应结束后,纳米颗粒从DNA折纸模板中动态分离,表明实验结果正确。纳米颗粒留在DNA折纸模板上,表明结果是错误的。仿真结果表明,该自组装模型在复杂逻辑电路中是高度可行的。结论:该模型结合了两种不同的自组装方法来实现大规模逻辑电路。与以前的模型相比,该模型在一个折纸模板上实现了更大的逻辑电路。该方法可用于构建更复杂的纳米系统,在分子工程中具有潜在的应用前景。
{"title":"Construction of complex logic circuit based on nanoparticles","authors":"Zhao Chen, Zhixiang Yin, Zhen Tang, Qiang Zhang","doi":"10.1117/1.JMM.19.3.034801","DOIUrl":"https://doi.org/10.1117/1.JMM.19.3.034801","url":null,"abstract":"Abstract. Background: Molecular logic circuits have great potential applications. DNA logic circuit is an important research direction of DNA computing in nanotechnology. DNA self-assembly has become a powerful tool for building nanoscale structures. The combination of different self-assembly methods is an interesting topic. Aim: Two different self-assembly methods are combined to realize large-scale logic circuit. A basic logical unit is extended to complex logic circuits by self-assembly. Approach: The complex logic circuit is solved by combining nanoparticles. One DNA strand attached to nanoparticle maps to a logical unit. Just as the combination between logical units can form logic circuits, the combination between nanoparticles can be used to structure logic circuits. On a larger-scale logic circuits, this is done by attaching the assembled nanoparticles to an origami template. Different logical values are mapped into different DNA initiators. Results: After the reaction is over, the nanoparticles are dynamically separated from the DNA origami template, indicating that the result is true. The nanoparticles remain on the DNA origami template, indicating that the result is false. The simulation results show that this self-assembly model is highly feasible for complex logic circuits. Conclusions: The model combines two different self-assembly methods to realize large-scale logic circuits. Compared with previous models, this model implements a larger logic circuit on one origami template. This method can be used to construct more complex nanosystems and may have potential applications in molecular engineering.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"124 6 1","pages":"034801 - 034801"},"PeriodicalIF":2.3,"publicationDate":"2020-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85201921","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Journal Split Will Refocus Technical Communities 期刊拆分将重新聚焦技术社区
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2020-05-19 DOI: 10.1117/1.jmm.19.2.020101
H. Levinson, H. Zappe
{"title":"Journal Split Will Refocus Technical Communities","authors":"H. Levinson, H. Zappe","doi":"10.1117/1.jmm.19.2.020101","DOIUrl":"https://doi.org/10.1117/1.jmm.19.2.020101","url":null,"abstract":"","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"66 1","pages":"020101"},"PeriodicalIF":2.3,"publicationDate":"2020-05-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82665725","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Cascade and cluster of correlated reactions as causes of stochastic defects in extreme ultraviolet lithography 极紫外光刻中引起随机缺陷的级联和簇状相关反应
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2020-04-01 DOI: 10.1117/1.JMM.19.2.024601
H. Fukuda
Abstract. Background: Stochastic defects are becoming major concern in the future extreme ultraviolet (EUV) lithography as their probability Pd exponentially increases with decreasing feature size and is highly sensitive to variations in process/mask conditions. Photon shot-noise and discrete/probabilistic nature of materials have been blamed as their causes. Aim: We introduce models for relating Pd to photon and resist statistics under various exposures and material conditions and analyze their impact in future EUV lithography. Approach: Three-dimensional reaction distribution is calculated by a fully coupled Monte Carlo simulation including discrete photon, photoelectron scattering, and resist stochastics. Then probability models predict Pd from statistical data extracted from Monte Carlo results. Results: Stochastic defect generation is enhanced by cascade and/or cluster of correlated reactions among nearby polymers/molecules due to secondary electrons (SE)/acid diffusion and SEs generated along scattered photoelectron trajectories. Pd decreases with increasing reaction density, suppressing effective image blur, and introducing quenchers, where reaction density is limited by SE, photoacid generator, and reaction site. Defect probability increases with decreasing target size for the same k1-factor, while strongly dependent on image slope and defocus. Conclusions: Our analyses suggest that applying EUV lithography to smaller target requires careful material choice, extremely precise process control, and further EUV power enhancement.
摘要背景:随着特征尺寸的减小,随机缺陷的概率Pd呈指数增长,并且对工艺/掩模条件的变化高度敏感,因此随机缺陷正在成为未来极紫外(EUV)光刻技术的主要关注点。光子噪声和材料的离散/概率性质被认为是其原因。目的:介绍在不同曝光和材料条件下Pd与光子和抗蚀统计的关系模型,并分析其对未来极紫外光刻技术的影响。方法:采用完全耦合的蒙特卡罗模拟计算三维反应分布,包括离散光子、光电子散射和抗随机特性。然后根据蒙特卡罗结果提取的统计数据,建立概率模型预测Pd。结果:由于二次电子(SE)/酸扩散和沿散射光电子轨迹产生的SE,附近聚合物/分子之间的级联和/或簇状相关反应增强了随机缺陷的产生。Pd随着反应密度的增加而降低,抑制了有效图像模糊,并引入了猝灭剂,其中反应密度受SE、光酸发生器和反应地点的限制。对于相同的k1因子,缺陷概率随目标尺寸的减小而增大,而与图像斜率和离焦密切相关。结论:我们的分析表明,将极紫外光刻技术应用于更小的目标需要谨慎的材料选择、极其精确的工艺控制和进一步的极紫外光功率增强。
{"title":"Cascade and cluster of correlated reactions as causes of stochastic defects in extreme ultraviolet lithography","authors":"H. Fukuda","doi":"10.1117/1.JMM.19.2.024601","DOIUrl":"https://doi.org/10.1117/1.JMM.19.2.024601","url":null,"abstract":"Abstract. Background: Stochastic defects are becoming major concern in the future extreme ultraviolet (EUV) lithography as their probability Pd exponentially increases with decreasing feature size and is highly sensitive to variations in process/mask conditions. Photon shot-noise and discrete/probabilistic nature of materials have been blamed as their causes. Aim: We introduce models for relating Pd to photon and resist statistics under various exposures and material conditions and analyze their impact in future EUV lithography. Approach: Three-dimensional reaction distribution is calculated by a fully coupled Monte Carlo simulation including discrete photon, photoelectron scattering, and resist stochastics. Then probability models predict Pd from statistical data extracted from Monte Carlo results. Results: Stochastic defect generation is enhanced by cascade and/or cluster of correlated reactions among nearby polymers/molecules due to secondary electrons (SE)/acid diffusion and SEs generated along scattered photoelectron trajectories. Pd decreases with increasing reaction density, suppressing effective image blur, and introducing quenchers, where reaction density is limited by SE, photoacid generator, and reaction site. Defect probability increases with decreasing target size for the same k1-factor, while strongly dependent on image slope and defocus. Conclusions: Our analyses suggest that applying EUV lithography to smaller target requires careful material choice, extremely precise process control, and further EUV power enhancement.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"10 1","pages":"024601 - 024601"},"PeriodicalIF":2.3,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83242228","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Mask-absorber optimization: the next phase 掩膜吸收器优化:下一阶段
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2020-04-01 DOI: 10.1117/1.JMM.19.2.024401
C. van Lare, F. Timmermans, J. Finders
Abstract. We continue our work on the physics of mask-topography-induced phase effects in imaging using extreme ultraviolet (EUV) lithography, and specifically how these effects can be mitigated by alternative mask absorbers. We present a semianalytical model to calculate the mask-topography-induced phase offset and study its trend throughout the entire material space at 13.5-nm wavelength. We demonstrate that the model is in good agreement with 3D rigorous simulations. Using the model, we explain why the previously demonstrated phase shift close to 1.2π works optimally for EUV imaging. We show a low refractive index mask absorber (n  <  0.91) is crucial for good mask 3D mitigation. We demonstrate the importance of mask bias and incident angle for imaging with an optimized attenuated phase-shift mask (PSM), which makes good source-mask optimization indispensable. We present the lithographic performance of alternative mask absorbers including a high-k mask, and a low- and high-transmission attenuated PSM for a few basic use cases, confirming the lithographic gain that can be obtained by mask-absorber optimization.
摘要我们继续研究在极紫外(EUV)光刻成像中掩膜地形诱导相位效应的物理特性,特别是如何通过替代掩膜吸收剂减轻这些效应。我们提出了一种半解析模型来计算掩模形貌引起的相位偏移,并研究了其在13.5 nm波长下在整个材料空间中的趋势。结果表明,该模型与三维仿真结果吻合较好。利用该模型,我们解释了为什么先前证明的接近1.2π的相移最适合于EUV成像。我们表明,低折射率掩膜吸收剂(n < 0.91)对于良好的掩膜3D缓解至关重要。我们证明了掩模偏置和入射角对优化的衰减相移掩模成像的重要性,这使得良好的源掩模优化必不可少。我们介绍了几种备选掩模吸收器的光刻性能,包括高k掩模、低透射率和高透射率衰减的PSM,用于一些基本用例,确认了通过掩模吸收器优化可以获得的光刻增益。
{"title":"Mask-absorber optimization: the next phase","authors":"C. van Lare, F. Timmermans, J. Finders","doi":"10.1117/1.JMM.19.2.024401","DOIUrl":"https://doi.org/10.1117/1.JMM.19.2.024401","url":null,"abstract":"Abstract. We continue our work on the physics of mask-topography-induced phase effects in imaging using extreme ultraviolet (EUV) lithography, and specifically how these effects can be mitigated by alternative mask absorbers. We present a semianalytical model to calculate the mask-topography-induced phase offset and study its trend throughout the entire material space at 13.5-nm wavelength. We demonstrate that the model is in good agreement with 3D rigorous simulations. Using the model, we explain why the previously demonstrated phase shift close to 1.2π works optimally for EUV imaging. We show a low refractive index mask absorber (n  <  0.91) is crucial for good mask 3D mitigation. We demonstrate the importance of mask bias and incident angle for imaging with an optimized attenuated phase-shift mask (PSM), which makes good source-mask optimization indispensable. We present the lithographic performance of alternative mask absorbers including a high-k mask, and a low- and high-transmission attenuated PSM for a few basic use cases, confirming the lithographic gain that can be obtained by mask-absorber optimization.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"22 1","pages":"024401 - 024401"},"PeriodicalIF":2.3,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79589070","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 27
High-voltage CD-SEM-based application to monitor 3D profile of high-aspect-ratio features 基于高压cd - sem的应用程序,用于监测高纵横比特征的3D轮廓
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2020-04-01 DOI: 10.1117/1.JMM.19.2.024002
Wei Sun, H. Ohta, T. Ninomiya, Y. Goto
Abstract. Background: In-line metrology for three-dimensional (3D) profiling high-aspect-ratio (HAR) features is highly important for manufacturing semiconductor devices, particularly for memory devices, such as 3D NAND and DRAM. Aim: Our purpose was to obtain the cross-sectional profiles of the HAR features from top-view critical dimension scanning electron microscopy (CD-SEM) images. Approach: Based on Monte Carlo simulation results, we proposed a method for 3D profiling of HAR features using backscattered electron (BSE) signal intensities. Several kinds of HAR holes with different taper angles and bowing geometries were fabricated. High-voltage CD-SEM was used for experiments to determine the feasibility of our approach. Results: Using the BSE line-profile, we constructed cross sections of the taper holes and estimated sidewall angles (SWAs), which were approximately the same as those observed using field-emission scanning electron microscopy (FE-SEM). The constructed cross sections of the bowing holes and the trends of the geometric variance, which were estimated by the middle CD and its depth, were consistent with the cross sections observed by FE-SEM. Conclusions: The results demonstrate that the variation in the HAR holes, such as SWA and bowing geometry, can be measured and monitored using the BSE images.
摘要背景:三维(3D)轮廓高纵横比(HAR)特征的在线计量对于制造半导体器件非常重要,特别是对于存储器器件,如3D NAND和DRAM。目的:我们的目的是从顶视图关键维扫描电子显微镜(CD-SEM)图像中获得HAR特征的横截面。方法:基于蒙特卡罗模拟结果,我们提出了一种基于背散射电子(BSE)信号强度的HAR特征三维轮廓分析方法。制备了几种不同锥度和弯曲几何形状的HAR孔。采用高压CD-SEM进行实验,以确定我们方法的可行性。结果:利用BSE线轮廓,我们构建了锥度孔的横截面,并估计了侧壁角(SWAs),其结果与用场发射扫描电镜(FE-SEM)观察到的结果大致相同。由中间CD及其深度估算的弓形孔的构造截面和几何方差趋势与FE-SEM观测的截面一致。结论:结果表明,利用BSE图像可以测量和监测HAR孔的变化,如SWA和弯曲几何形状。
{"title":"High-voltage CD-SEM-based application to monitor 3D profile of high-aspect-ratio features","authors":"Wei Sun, H. Ohta, T. Ninomiya, Y. Goto","doi":"10.1117/1.JMM.19.2.024002","DOIUrl":"https://doi.org/10.1117/1.JMM.19.2.024002","url":null,"abstract":"Abstract. Background: In-line metrology for three-dimensional (3D) profiling high-aspect-ratio (HAR) features is highly important for manufacturing semiconductor devices, particularly for memory devices, such as 3D NAND and DRAM. Aim: Our purpose was to obtain the cross-sectional profiles of the HAR features from top-view critical dimension scanning electron microscopy (CD-SEM) images. Approach: Based on Monte Carlo simulation results, we proposed a method for 3D profiling of HAR features using backscattered electron (BSE) signal intensities. Several kinds of HAR holes with different taper angles and bowing geometries were fabricated. High-voltage CD-SEM was used for experiments to determine the feasibility of our approach. Results: Using the BSE line-profile, we constructed cross sections of the taper holes and estimated sidewall angles (SWAs), which were approximately the same as those observed using field-emission scanning electron microscopy (FE-SEM). The constructed cross sections of the bowing holes and the trends of the geometric variance, which were estimated by the middle CD and its depth, were consistent with the cross sections observed by FE-SEM. Conclusions: The results demonstrate that the variation in the HAR holes, such as SWA and bowing geometry, can be measured and monitored using the BSE images.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"23 1","pages":"024002 - 024002"},"PeriodicalIF":2.3,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85569969","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Efficient Bayesian inversion for shape reconstruction of lithography masks 光刻掩模形状重建的高效贝叶斯反演
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2020-04-01 DOI: 10.1117/1.JMM.19.2.024001
N. Farchmin, M. Hammerschmidt, Philipp‐Immanuel Schneider, M. Wurm, B. Bodermann, M. Bär, S. Heidenreich
Abstract. Background: Scatterometry is a fast, indirect, and nondestructive optical method for quality control in the production of lithography masks. To solve the inverse problem in compliance with the upcoming need for improved accuracy, a computationally expensive forward model that maps geometry parameters to diffracted light intensities has to be defined. Aim: To quantify the uncertainties in the reconstruction of the geometry parameters, a fast-to-evaluate surrogate for the forward model has to be introduced. Approach: We use a nonintrusive polynomial chaos-based approximation of the forward model, which increases speed and thus enables the exploration of the posterior through direct Bayesian inference. In addition, this surrogate allows for a global sensitivity analysis at no additional computational overhead. Results: This approach yields information about the complete distribution of the geometry parameters of a silicon line grating, which in return allows for quantifying the reconstruction uncertainties in the form of means, variances, and higher order moments of the parameters. Conclusions: The use of a polynomial chaos surrogate allows for quantifying both parameter influences and reconstruction uncertainties. This approach is easy to use since no adaptation of the expensive forward model is required.
摘要背景:散射法是一种快速、间接、无损的光学方法,用于光刻掩模生产的质量控制。为了解决逆问题,以满足即将到来的提高精度的需要,必须定义一个计算成本很高的正演模型,该模型将几何参数映射到衍射光强度。目的:为了量化几何参数重建中的不确定性,必须引入一种快速评估的正演模型替代物。方法:我们使用基于混沌的非侵入性多项式逼近正向模型,这提高了速度,从而可以通过直接贝叶斯推理来探索后验。此外,该代理允许在没有额外计算开销的情况下进行全局敏感性分析。结果:这种方法产生了关于硅线光栅几何参数完整分布的信息,这反过来又允许以参数的均值、方差和高阶矩的形式量化重建不确定性。结论:使用多项式混沌替代物可以量化参数影响和重建不确定性。这种方法很容易使用,因为不需要对昂贵的前向模型进行调整。
{"title":"Efficient Bayesian inversion for shape reconstruction of lithography masks","authors":"N. Farchmin, M. Hammerschmidt, Philipp‐Immanuel Schneider, M. Wurm, B. Bodermann, M. Bär, S. Heidenreich","doi":"10.1117/1.JMM.19.2.024001","DOIUrl":"https://doi.org/10.1117/1.JMM.19.2.024001","url":null,"abstract":"Abstract. Background: Scatterometry is a fast, indirect, and nondestructive optical method for quality control in the production of lithography masks. To solve the inverse problem in compliance with the upcoming need for improved accuracy, a computationally expensive forward model that maps geometry parameters to diffracted light intensities has to be defined. Aim: To quantify the uncertainties in the reconstruction of the geometry parameters, a fast-to-evaluate surrogate for the forward model has to be introduced. Approach: We use a nonintrusive polynomial chaos-based approximation of the forward model, which increases speed and thus enables the exploration of the posterior through direct Bayesian inference. In addition, this surrogate allows for a global sensitivity analysis at no additional computational overhead. Results: This approach yields information about the complete distribution of the geometry parameters of a silicon line grating, which in return allows for quantifying the reconstruction uncertainties in the form of means, variances, and higher order moments of the parameters. Conclusions: The use of a polynomial chaos surrogate allows for quantifying both parameter influences and reconstruction uncertainties. This approach is easy to use since no adaptation of the expensive forward model is required.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"12 1","pages":"024001 - 024001"},"PeriodicalIF":2.3,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91261108","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Thermoplastic polyurethane-based flexible multilayer microfluidic devices 基于热塑性聚氨酯的柔性多层微流控装置
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2020-04-01 DOI: 10.1117/1.JMM.19.2.024501
Yiqiang Fan, Lei-Ti Huang, Rubing Cui, Xuance Zhou, Yajun Zhang
Abstract Background: Microfluidics has been widely used in the biological and medical fields, and polymers are the most widely used materials in microfluidics at present due to their low cost and ease of processing. Both thermoplastics and thermosets were used as the bulk materials in microfluidics. The third option of a material with both advantages from thermoplastics and thermosets will be of great significance. Aim: We try to establish a low cost and rapid fabrication approach for thermoplastic polyurethane (TPU)-based microfluidics. Several demonstrations were also provided with the proposed fabrication method for TPU-based microfluidics. Approach: A CO2 laser ablation instrument was used for the fabrication of the TPU-based microfluidic devices. The width and depth of microchannels fabricated with various laser scan speeds and energies were studied in detail. For sealing the fabricated channels, a thermal fusion bonding method was also proposed with the bonding strength testing. Several types of the most commonly used microfluidic chips were fabricated for demonstration of the proposed fabrication method. Results: A comprehensive fabrication approach for TPU-based microfluidic devices was achieved. A series of microfluidic chips were designed, fabricated, and tested. Conclusions: TPU-based microfluidics is achievable and could be used as an alternative material for polydimethylsiloxane or thermoplastics for the fabrication of microfluidic devices. The proposed method could have broad potential applications in biological and chemical fields.
摘要背景:微流控技术在生物和医学领域有着广泛的应用,聚合物由于其成本低、易于加工,是目前微流控技术中应用最广泛的材料。热塑性塑料和热固性材料都被用作微流体的体块材料。第三种选择是同时具有热塑性塑料和热固性材料优点的材料,这将具有重要意义。目的:探索一种低成本、快速制备热塑性聚氨酯微流体的方法。最后对基于tpu的微流体的制备方法进行了验证。方法:采用CO2激光烧蚀仪制备tpu基微流控器件。研究了在不同激光扫描速度和能量下制备的微通道的宽度和深度。针对预制通道的密封问题,提出了一种热熔粘接方法,并进行了粘接强度测试。制备了几种最常用的微流控芯片,以验证所提出的制备方法。结果:获得了一种基于tpu的微流控器件的综合制备方法。设计、制作并测试了一系列微流控芯片。结论:基于tpu的微流体是可以实现的,可以作为聚二甲基硅氧烷或热塑性塑料的替代材料用于微流体器件的制造。该方法在生物和化学领域具有广泛的应用前景。
{"title":"Thermoplastic polyurethane-based flexible multilayer microfluidic devices","authors":"Yiqiang Fan, Lei-Ti Huang, Rubing Cui, Xuance Zhou, Yajun Zhang","doi":"10.1117/1.JMM.19.2.024501","DOIUrl":"https://doi.org/10.1117/1.JMM.19.2.024501","url":null,"abstract":"Abstract Background: Microfluidics has been widely used in the biological and medical fields, and polymers are the most widely used materials in microfluidics at present due to their low cost and ease of processing. Both thermoplastics and thermosets were used as the bulk materials in microfluidics. The third option of a material with both advantages from thermoplastics and thermosets will be of great significance. Aim: We try to establish a low cost and rapid fabrication approach for thermoplastic polyurethane (TPU)-based microfluidics. Several demonstrations were also provided with the proposed fabrication method for TPU-based microfluidics. Approach: A CO2 laser ablation instrument was used for the fabrication of the TPU-based microfluidic devices. The width and depth of microchannels fabricated with various laser scan speeds and energies were studied in detail. For sealing the fabricated channels, a thermal fusion bonding method was also proposed with the bonding strength testing. Several types of the most commonly used microfluidic chips were fabricated for demonstration of the proposed fabrication method. Results: A comprehensive fabrication approach for TPU-based microfluidic devices was achieved. A series of microfluidic chips were designed, fabricated, and tested. Conclusions: TPU-based microfluidics is achievable and could be used as an alternative material for polydimethylsiloxane or thermoplastics for the fabrication of microfluidic devices. The proposed method could have broad potential applications in biological and chemical fields.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"51 1","pages":"024501 - 024501"},"PeriodicalIF":2.3,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82405821","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Design and modeling of a highly sensitive microelectromechanical system capacitive microphone 高灵敏度微机电系统电容式传声器的设计与建模
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2020-04-01 DOI: 10.1117/1.JMM.19.2.025001
S. B. Sedaghat, B. Ganji
Abstract. A single-chip microelectromechanical system (MEMS) capacitive microphone is designed and modeled. The mechanical model of the structure is extracted and the mathematical equations for a description of the microphone behavior are obtained. Then the proposed microphone characteristics are considered. In this structure, by adding Z-shape arms around the diaphragm, diaphragm hardness is decreased and diaphragm displacement becomes uniform. The sensitivity and the pull-in voltage are improved despite the decreasing size. The perforated diaphragm of this microphone is supported by Z-shape arms at its four corners. These arms around the diaphragm decrease the stiffness and air damping of the microphone. The behavior of this microphone is also analyzed by the finite element method. The structure has a diaphragm thickness of 2  μm, a diaphragm size of 0.32  ×  0.32  mm2, an air gap of 2  μm, and a highly doped monocrystalline silicon wafer as a backplate. The proposed microphone is simulated with IntelliSuite software. According to the results, the new microphone has a sensitivity of 14.245  mV  /  Pa and a pull-in voltage of 5.83 V. The results show that the proposed MEMS capacitive microphone is one of the best structures in performance. The obtained mathematical equations for description of the microphone’s behavior have good agreement with the simulation results.
摘要设计并建模了一种单片机微机电系统(MEMS)电容式传声器。提取了结构的力学模型,得到了描述传声器行为的数学方程。然后考虑了所提出的传声器特性。在这种结构中,通过在膜片周围添加z形臂,膜片硬度降低,膜片位移均匀。尽管尺寸减小,但灵敏度和拉入电压都有所提高。这个麦克风的穿孔隔膜由四个角的z形臂支撑。这些手臂围绕着隔膜减少刚度和空气阻尼的麦克风。采用有限元方法对该传声器的性能进行了分析。该结构膜片厚度为2 μm,膜片尺寸为0.32 × 0.32 mm2,气隙为2 μm,背板为高掺杂单晶硅片。用IntelliSuite软件对所提出的麦克风进行了仿真。结果表明,新型传声器的灵敏度为14.245 mV / Pa,拉入电压为5.83 V。结果表明,所提出的MEMS电容式传声器是性能最好的传声器结构之一。所得的描述传声器特性的数学方程与仿真结果吻合较好。
{"title":"Design and modeling of a highly sensitive microelectromechanical system capacitive microphone","authors":"S. B. Sedaghat, B. Ganji","doi":"10.1117/1.JMM.19.2.025001","DOIUrl":"https://doi.org/10.1117/1.JMM.19.2.025001","url":null,"abstract":"Abstract. A single-chip microelectromechanical system (MEMS) capacitive microphone is designed and modeled. The mechanical model of the structure is extracted and the mathematical equations for a description of the microphone behavior are obtained. Then the proposed microphone characteristics are considered. In this structure, by adding Z-shape arms around the diaphragm, diaphragm hardness is decreased and diaphragm displacement becomes uniform. The sensitivity and the pull-in voltage are improved despite the decreasing size. The perforated diaphragm of this microphone is supported by Z-shape arms at its four corners. These arms around the diaphragm decrease the stiffness and air damping of the microphone. The behavior of this microphone is also analyzed by the finite element method. The structure has a diaphragm thickness of 2  μm, a diaphragm size of 0.32  ×  0.32  mm2, an air gap of 2  μm, and a highly doped monocrystalline silicon wafer as a backplate. The proposed microphone is simulated with IntelliSuite software. According to the results, the new microphone has a sensitivity of 14.245  mV  /  Pa and a pull-in voltage of 5.83 V. The results show that the proposed MEMS capacitive microphone is one of the best structures in performance. The obtained mathematical equations for description of the microphone’s behavior have good agreement with the simulation results.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"1 1","pages":"025001 - 025001"},"PeriodicalIF":2.3,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88941111","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
Journal of Micro/Nanolithography, MEMS, and MOEMS
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1