Pub Date : 2019-10-01DOI: 10.1117/1.JMM.18.4.043503
V. Mere, S. Selvaraja
Abstract. A method of tapering waveguides using fixed-electronic-beam-moving-stage (FBMS) paths is presented. The tapering is achieved by joining two FBMS paths to a common point. Compared to conventional area and FBMS tapering methods, the proposed method offers smooth and alignment-error-free tapering between waveguides of different widths. We experimentally demonstrate a fully functional FBMS patterned photonic circuit with a power splitter, wire-to-slot coupler, slot waveguide, and a slotted ring resonator. The device response with an insertion loss of −1.35 dB is measured around 1550-nm wavelength.
{"title":"Method to fabricate taper waveguide using fixed-beam moving stage electron-beam lithography","authors":"V. Mere, S. Selvaraja","doi":"10.1117/1.JMM.18.4.043503","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.043503","url":null,"abstract":"Abstract. A method of tapering waveguides using fixed-electronic-beam-moving-stage (FBMS) paths is presented. The tapering is achieved by joining two FBMS paths to a common point. Compared to conventional area and FBMS tapering methods, the proposed method offers smooth and alignment-error-free tapering between waveguides of different widths. We experimentally demonstrate a fully functional FBMS patterned photonic circuit with a power splitter, wire-to-slot coupler, slot waveguide, and a slotted ring resonator. The device response with an insertion loss of −1.35 dB is measured around 1550-nm wavelength.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"45 1","pages":"043503 - 043503"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73754430","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-10-01DOI: 10.1117/1.JMM.18.4.043502
Jiajing Li, Paulina A. Rincon-Delgadillo, H. Suh, G. Mannaert, P. Nealey
Abstract. As a potential solution to next-generation nanolithography, directed self-assembly (DSA) of block copolymers (BCPs) is still restrained in high-volume manufacturing primarily due to its defectivity issue. Though defects possess greater free energies than aligned morphologies and are highly energetically unfavorable, they can be kinetically trapped by the energy barriers and persist for a long time during annealing. Therefore, understanding the kinetics of defect annihilation is crucial in revealing the mechanism of defect formation and in further reducing defectivity in DSA. We focus on two types of predominant defects in DSA—dislocation and bridge. A kinetic model of each defect type is developed through statistical analysis of experimental data, providing insight into possible approaches of further defect reduction. We also investigate the impact of annealing temperature and film thickness on annihilation kinetics and discuss the reasons behind the observed results. By simply optimizing annealing conditions and film thickness, we have successfully reduced the total defect density by 1 order of magnitude. Though these findings are based on polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA), we anticipate they could be readily applied to other BCP platforms as well.
{"title":"Kinetic approach to defect reduction in directed self-assembly","authors":"Jiajing Li, Paulina A. Rincon-Delgadillo, H. Suh, G. Mannaert, P. Nealey","doi":"10.1117/1.JMM.18.4.043502","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.043502","url":null,"abstract":"Abstract. As a potential solution to next-generation nanolithography, directed self-assembly (DSA) of block copolymers (BCPs) is still restrained in high-volume manufacturing primarily due to its defectivity issue. Though defects possess greater free energies than aligned morphologies and are highly energetically unfavorable, they can be kinetically trapped by the energy barriers and persist for a long time during annealing. Therefore, understanding the kinetics of defect annihilation is crucial in revealing the mechanism of defect formation and in further reducing defectivity in DSA. We focus on two types of predominant defects in DSA—dislocation and bridge. A kinetic model of each defect type is developed through statistical analysis of experimental data, providing insight into possible approaches of further defect reduction. We also investigate the impact of annealing temperature and film thickness on annihilation kinetics and discuss the reasons behind the observed results. By simply optimizing annealing conditions and film thickness, we have successfully reduced the total defect density by 1 order of magnitude. Though these findings are based on polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA), we anticipate they could be readily applied to other BCP platforms as well.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"17 1","pages":"043502 - 043502"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83052207","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-10-01DOI: 10.1117/1.JMM.18.4.043504
N. Thakur, L. Tseng, M. Vockenhuber, Y. Ekinci, S. Castellanos
Abstract. Background: Hybrid inorganic-organic materials have emerged as promising candidates for EUV resists. However, knowledge on their stability when deposited as thin films is essential for their performance in EUV lithography. Aim: We investigate whether the molecular structure of Zn-based metal oxoclusters is preserved upon thin film deposition and study aging processes of the thin film under different atmospheres, since these chemical changes affect the solubility properties of the material. Approach: A hybrid cluster that combines the high EUV photon absorption cross-sections of zinc and fluorine with the reactivity of methacrylate organic ligands was synthesized. The structural modifications upon thin film formation and after aging in air, nitrogen, and vacuum were studied using a combination of spectroscopic techniques. Preliminary studies on the lithographic performance of this material were performed by EUV interference lithography. Results: The Zn-based compound undergoes structural rearrangements upon thin film deposition as compared to the bulk material. The thin films degrade in air over 24 h, yet they are found to be stable for the duration and conditions of the lithography process and show high sensitivity. Conclusions: The easy dissociation of the ligands might facilitate hydrolysis and rearrangements after spin-coating, which could affect the reproducibility of EUV lithography.
{"title":"Stability studies on a sensitive EUV photoresist based on zinc metal oxoclusters","authors":"N. Thakur, L. Tseng, M. Vockenhuber, Y. Ekinci, S. Castellanos","doi":"10.1117/1.JMM.18.4.043504","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.043504","url":null,"abstract":"Abstract. Background: Hybrid inorganic-organic materials have emerged as promising candidates for EUV resists. However, knowledge on their stability when deposited as thin films is essential for their performance in EUV lithography. Aim: We investigate whether the molecular structure of Zn-based metal oxoclusters is preserved upon thin film deposition and study aging processes of the thin film under different atmospheres, since these chemical changes affect the solubility properties of the material. Approach: A hybrid cluster that combines the high EUV photon absorption cross-sections of zinc and fluorine with the reactivity of methacrylate organic ligands was synthesized. The structural modifications upon thin film formation and after aging in air, nitrogen, and vacuum were studied using a combination of spectroscopic techniques. Preliminary studies on the lithographic performance of this material were performed by EUV interference lithography. Results: The Zn-based compound undergoes structural rearrangements upon thin film deposition as compared to the bulk material. The thin films degrade in air over 24 h, yet they are found to be stable for the duration and conditions of the lithography process and show high sensitivity. Conclusions: The easy dissociation of the ligands might facilitate hydrolysis and rearrangements after spin-coating, which could affect the reproducibility of EUV lithography.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"37 1","pages":"043504 - 043504"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78556704","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-10-01DOI: 10.1117/1.JMM.18.4.044001
R. Gronheid, Satomi Higashibata, Onur N. Demirer, Yusuke Tanaka, D. van den Heuvel, M. Mao, Masaru Suzuki, S. Nagai, Waikin Li, P. Leray
Abstract. Metal containing resists (MCR) are one of the candidates for extreme ultraviolet resists aiming to achieve the resolution, linewidth roughness, and sensitivity requirements of advanced design nodes. MCRs intrinsically have high etch resistance owing to their metal content. Therefore, low resist thickness (∼18 nm) is sufficient to transfer resist patterns into an underlying hard mask. Also, the thin resist reduces susceptibility to pattern collapse during development because of low aspect ratio. However, thus far, little attention has been paid to optical metrology and inspectability (overlay, defect inspection, scatterometry, etc.) of these resists, which is another critical requirement to move MCR toward high-volume manufacturing. We investigate the overlay metrology and overlay correction with MCR. Even though the optical contrast for MCR is slightly lower than for chemically amplified resist (CAR), it seemed sufficient for high-quality overlay metrology. However, the measurement precision for MCR is deteriorated compared to that for CAR, resulting in significantly higher residuals. The root cause of the deteriorated measurement precision was found in grains in the optical image after MCR development. Interestingly, the after etch performance of CAR and MCR is identical. We demonstrate that with sufficient sampling, appropriate correctables can be extracted from the MCR overlay results. Finally, we discuss how the increased image noise can be compensated by the applied sampling scheme.
{"title":"Overlay error investigation for metal containing resist","authors":"R. Gronheid, Satomi Higashibata, Onur N. Demirer, Yusuke Tanaka, D. van den Heuvel, M. Mao, Masaru Suzuki, S. Nagai, Waikin Li, P. Leray","doi":"10.1117/1.JMM.18.4.044001","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.044001","url":null,"abstract":"Abstract. Metal containing resists (MCR) are one of the candidates for extreme ultraviolet resists aiming to achieve the resolution, linewidth roughness, and sensitivity requirements of advanced design nodes. MCRs intrinsically have high etch resistance owing to their metal content. Therefore, low resist thickness (∼18 nm) is sufficient to transfer resist patterns into an underlying hard mask. Also, the thin resist reduces susceptibility to pattern collapse during development because of low aspect ratio. However, thus far, little attention has been paid to optical metrology and inspectability (overlay, defect inspection, scatterometry, etc.) of these resists, which is another critical requirement to move MCR toward high-volume manufacturing. We investigate the overlay metrology and overlay correction with MCR. Even though the optical contrast for MCR is slightly lower than for chemically amplified resist (CAR), it seemed sufficient for high-quality overlay metrology. However, the measurement precision for MCR is deteriorated compared to that for CAR, resulting in significantly higher residuals. The root cause of the deteriorated measurement precision was found in grains in the optical image after MCR development. Interestingly, the after etch performance of CAR and MCR is identical. We demonstrate that with sufficient sampling, appropriate correctables can be extracted from the MCR overlay results. Finally, we discuss how the increased image noise can be compensated by the applied sampling scheme.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"39 1","pages":"044001 - 044001"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79580278","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-10-01DOI: 10.1117/1.JMM.18.4.043505
Lucas Lamonds, Bryan Orf, Michael Frachel, X. Thrun, G. Erley, Philip Groeger, Alexander Muehle, B. Habets
Abstract. Background: To reduce defocus from leveling errors at the wafer edge, modern exposure tools offer a broad range of advanced leveling controls. These additional degrees of freedom offer better leveling performance, but users hesitate to spend the tool time, wafers, and engineering hours necessary to find and maintain the optimal settings experimentally. Aim: In order to fully explore the potential of advanced leveling controls, an automated, fast simulation method should be introduced. Approach: Alternative set-point curves and resulting focus residuals are simulated from existing wafer height maps. Optimizations are carried out to obtain the best edge exclusion settings for several dynamic random access memory and NAND flash memory products, across different layers and exposure tools. The simulated focus errors are compared to the POR settings and verified with electrical results. Results: An efficient optimization algorithm was demonstrated and significant leveling improvements found for a number of use cases. The resulting settings vary substantially between different products, layers, and exposure tools. The impact of the improved leveling performance is verified using electrical data. Conclusions: The speed of the presented method proves crucial to help lithographers dial in and maintain numerous settings at optimal values across a typical production line.
{"title":"Focus leveling improvement using optimized wafer edge settings","authors":"Lucas Lamonds, Bryan Orf, Michael Frachel, X. Thrun, G. Erley, Philip Groeger, Alexander Muehle, B. Habets","doi":"10.1117/1.JMM.18.4.043505","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.043505","url":null,"abstract":"Abstract. Background: To reduce defocus from leveling errors at the wafer edge, modern exposure tools offer a broad range of advanced leveling controls. These additional degrees of freedom offer better leveling performance, but users hesitate to spend the tool time, wafers, and engineering hours necessary to find and maintain the optimal settings experimentally. Aim: In order to fully explore the potential of advanced leveling controls, an automated, fast simulation method should be introduced. Approach: Alternative set-point curves and resulting focus residuals are simulated from existing wafer height maps. Optimizations are carried out to obtain the best edge exclusion settings for several dynamic random access memory and NAND flash memory products, across different layers and exposure tools. The simulated focus errors are compared to the POR settings and verified with electrical results. Results: An efficient optimization algorithm was demonstrated and significant leveling improvements found for a number of use cases. The resulting settings vary substantially between different products, layers, and exposure tools. The impact of the improved leveling performance is verified using electrical data. Conclusions: The speed of the presented method proves crucial to help lithographers dial in and maintain numerous settings at optimal values across a typical production line.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"40 1","pages":"043505 - 043505"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78094393","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-10-01DOI: 10.1117/1.JMM.18.4.044502
Jan Uhilg, D. Barlaz, D. Ruzic
Abstract. Following the need to improve packaging and contact layers for photovoltaics and other optoelectronic applications, a renewed interest in the fabrication of thin, low-density silicon films has arisen. We demonstrate a reactive sputtering technique utilizing a secondary plasma to crack hydrogen gas during physical vapor deposition of silicon layers. Cracking efficiency of the gas varies heavily with pressure and power from under 10% to nearly 100% conversion to hydrogen radicals. Radicals incorporated into the film produce amorphous silicon films with densities as low as 1.73 g / cm3, compared to 2.2 g / cm3 in their nonhydrogenated counterparts. Reduced density films likewise have a reduction in index of refraction comparable to other hydrogenated amorphous silicon produced by other techniques with indices close to 2 across the visible portion of the spectrum. Our work represents a useful, scalable advance in the production of amorphous hydrogenated silicon for a variety of applications requiring large areas.
摘要由于需要改进光伏和其他光电应用的封装和接触层,人们对制造薄而低密度的硅薄膜产生了新的兴趣。我们展示了一种反应溅射技术,利用二次等离子体在硅层的物理气相沉积过程中裂解氢气。氢气自由基的裂解效率随压力和功率变化很大,从低于10%到接近100%。自由基加入到薄膜中产生密度低至1.73 g / cm3的非晶硅薄膜,而非氢化的薄膜密度为2.2 g / cm3。同样,低密度薄膜的折射率降低,与其他技术生产的其他氢化非晶硅相比,在光谱可见部分的折射率接近2。我们的工作代表了非晶氢化硅生产的有用的、可扩展的进步,适用于各种需要大面积的应用。
{"title":"Reduction in a-Si:H density utilizing a secondary plasma","authors":"Jan Uhilg, D. Barlaz, D. Ruzic","doi":"10.1117/1.JMM.18.4.044502","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.044502","url":null,"abstract":"Abstract. Following the need to improve packaging and contact layers for photovoltaics and other optoelectronic applications, a renewed interest in the fabrication of thin, low-density silicon films has arisen. We demonstrate a reactive sputtering technique utilizing a secondary plasma to crack hydrogen gas during physical vapor deposition of silicon layers. Cracking efficiency of the gas varies heavily with pressure and power from under 10% to nearly 100% conversion to hydrogen radicals. Radicals incorporated into the film produce amorphous silicon films with densities as low as 1.73 g / cm3, compared to 2.2 g / cm3 in their nonhydrogenated counterparts. Reduced density films likewise have a reduction in index of refraction comparable to other hydrogenated amorphous silicon produced by other techniques with indices close to 2 across the visible portion of the spectrum. Our work represents a useful, scalable advance in the production of amorphous hydrogenated silicon for a variety of applications requiring large areas.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"120 1","pages":"044502 - 044502"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79380946","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-10-01DOI: 10.1117/1.JMM.18.4.044501
Zesen Bai, Yinpeng Wang, Qiancheng Zhao, Zhenchuan Yang, J. Cui, G. Yan
Abstract. Microsystem technology is well suited to batch fabricate microhemispherical resonator gyroscopes (HRG) to reduce cost and volume. In the processing of micro-HRG, a crucial step is to get a 3-D hemispherical mold with the large-scale, high-symmetry, and smooth surface. Compared with the hemispherical resonator, the toroidal resonator has the smaller frequency split and larger effective resonance mass under the same processing accuracy. A wafer-scale etching method for the toroidal resonator mold was presented, which is based on the deep reactive ion etching and improved HNA isotropic etching. The advantages of this method include low cost, time savings, and easy operation. With this method, toroidal molds with an average diameter over 1900 μm, asymmetry <0.2 % , and roughness <10 nm were successfully fabricated. The uniformity and surface smoothness of the molds are mainly determined by the parameters of HNA etching. A series of controlled experiments were conducted to optimize isotropic etching parameters that include mask design, bath agitation, HNA composition, and temperature. The influence of these parameters on etching rate and uniformity was discussed. The result shows that the composition of 2.5:7:1 (HF : HNO3 : CH3COOH), temperature of 30°C, and bath agitation of 20 revolutions per minute are optimal etching conditions to achieve high-performance molds.
{"title":"Improved HNA isotropic etching for large-scale highly symmetric toroidal silicon molds with <10-nm roughness","authors":"Zesen Bai, Yinpeng Wang, Qiancheng Zhao, Zhenchuan Yang, J. Cui, G. Yan","doi":"10.1117/1.JMM.18.4.044501","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.044501","url":null,"abstract":"Abstract. Microsystem technology is well suited to batch fabricate microhemispherical resonator gyroscopes (HRG) to reduce cost and volume. In the processing of micro-HRG, a crucial step is to get a 3-D hemispherical mold with the large-scale, high-symmetry, and smooth surface. Compared with the hemispherical resonator, the toroidal resonator has the smaller frequency split and larger effective resonance mass under the same processing accuracy. A wafer-scale etching method for the toroidal resonator mold was presented, which is based on the deep reactive ion etching and improved HNA isotropic etching. The advantages of this method include low cost, time savings, and easy operation. With this method, toroidal molds with an average diameter over 1900 μm, asymmetry <0.2 % , and roughness <10 nm were successfully fabricated. The uniformity and surface smoothness of the molds are mainly determined by the parameters of HNA etching. A series of controlled experiments were conducted to optimize isotropic etching parameters that include mask design, bath agitation, HNA composition, and temperature. The influence of these parameters on etching rate and uniformity was discussed. The result shows that the composition of 2.5:7:1 (HF : HNO3 : CH3COOH), temperature of 30°C, and bath agitation of 20 revolutions per minute are optimal etching conditions to achieve high-performance molds.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"12 1","pages":"044501 - 044501"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81615057","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-10-01DOI: 10.1117/1.JMM.18.4.040902
M. Rieger
Abstract. In recent decades, the rate of shrinking integrated-circuit components has slowed as challenges accumulate. Yet, in part by virtue of an accelerating rate of cleverness, the end-user value of new semiconductor processes steadily advances. On top of the miniaturization benefits delivered by optical lithography, value is boosted by innovations in wafer processing, mask synthesis, materials and devices, microarchitecture, and circuit design. Focusing on three decades of microprocessor data enables quantification of how innovations from those domains have contributed over time to integrated-circuit “value scaling” in terms of performance, power, and cost. At some point, lateral shrinking will end altogether and the kinds of ingenuity emerging from those domains may provide clues for how very large-scale integration value creation will advance beyond that point.
{"title":"Retrospective on VLSI value scaling and lithography","authors":"M. Rieger","doi":"10.1117/1.JMM.18.4.040902","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.040902","url":null,"abstract":"Abstract. In recent decades, the rate of shrinking integrated-circuit components has slowed as challenges accumulate. Yet, in part by virtue of an accelerating rate of cleverness, the end-user value of new semiconductor processes steadily advances. On top of the miniaturization benefits delivered by optical lithography, value is boosted by innovations in wafer processing, mask synthesis, materials and devices, microarchitecture, and circuit design. Focusing on three decades of microprocessor data enables quantification of how innovations from those domains have contributed over time to integrated-circuit “value scaling” in terms of performance, power, and cost. At some point, lateral shrinking will end altogether and the kinds of ingenuity emerging from those domains may provide clues for how very large-scale integration value creation will advance beyond that point.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"41 1","pages":"040902 - 040902"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79061373","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-10-01DOI: 10.1117/1.JMM.18.4.043507
Melissa A. Smith, S. Berry, L. Parameswaran, Christopher Holtsberg, N. Siegel, Ronald Lockwood, M. Chrisp, Daniel Freeman, M. Rothschild
Abstract. Grayscale lithography is a widely known but underutilized microfabrication technique for creating three-dimensional (3-D) microstructures in photoresist. One of the hurdles for its widespread use is that developing the grayscale photolithography masks can be time-consuming and costly since it often requires an iterative process, especially for complex geometries. We discuss the use of PROLITH, a lithography simulation tool, to predict 3-D photoresist profiles from grayscale mask designs. Several examples of optical microsystems and microelectromechanical systems where PROLITH was used to validate the mask design prior to implementation in the microfabrication process are presented. In all examples, PROLITH was able to accurately and quantitatively predict resist profiles, which reduced both design time and the number of trial photomasks, effectively reducing the cost of component fabrication.
{"title":"Design, simulation, and fabrication of three-dimensional microsystem components using grayscale photolithography","authors":"Melissa A. Smith, S. Berry, L. Parameswaran, Christopher Holtsberg, N. Siegel, Ronald Lockwood, M. Chrisp, Daniel Freeman, M. Rothschild","doi":"10.1117/1.JMM.18.4.043507","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.043507","url":null,"abstract":"Abstract. Grayscale lithography is a widely known but underutilized microfabrication technique for creating three-dimensional (3-D) microstructures in photoresist. One of the hurdles for its widespread use is that developing the grayscale photolithography masks can be time-consuming and costly since it often requires an iterative process, especially for complex geometries. We discuss the use of PROLITH, a lithography simulation tool, to predict 3-D photoresist profiles from grayscale mask designs. Several examples of optical microsystems and microelectromechanical systems where PROLITH was used to validate the mask design prior to implementation in the microfabrication process are presented. In all examples, PROLITH was able to accurately and quantitatively predict resist profiles, which reduced both design time and the number of trial photomasks, effectively reducing the cost of component fabrication.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"2010 1","pages":"043507 - 043507"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78461222","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-10-01DOI: 10.1117/1.JMM.18.4.045501
B. Mohammadfam, H. Veladi, R. Yadipour, H. Khoshsima
Abstract. Background: The benefits of microresonator lasers include easy and low-cost fabrication methods and high-quality factor of microresonators, which results in low threshold current of the fabricated laser. However, the lack of tunable fabrication methods with precise size and spacing is a great challenge. Aim: Based on a microsphere fabrication method that relies on injection and surface tension effects, simultaneous demonstration of an array of cavities with precise spacing and sizes is possible where the size of cavities is widely tunable. Approach: Using a maskless lithography setup, pillars with base and tip diameters of 250 and 60μm are fabricated, which are used for demonstration of microspheres with tunable size, depending on the applied pump pressure. Microcavities composed of Rhodamine B dissolved in glycerol are injected into the polydimethylsiloxane carrier through a nozzle with a diameter of 70 μm. Results: An ∼4-μJ / mm2 lasing threshold has been obtained for a laser with a microsphere diameter of ∼350 μm. A redshift originated from the increment of dye concentration has also been observed. Conclusions: Fabrication of precise microspheres for a microresonator laser is feasible using the introduced method, which can be further generalized using different active materials.
{"title":"Tunable microlaser based on precisely formed dye-doped microsphere cavity","authors":"B. Mohammadfam, H. Veladi, R. Yadipour, H. Khoshsima","doi":"10.1117/1.JMM.18.4.045501","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.045501","url":null,"abstract":"Abstract. Background: The benefits of microresonator lasers include easy and low-cost fabrication methods and high-quality factor of microresonators, which results in low threshold current of the fabricated laser. However, the lack of tunable fabrication methods with precise size and spacing is a great challenge. Aim: Based on a microsphere fabrication method that relies on injection and surface tension effects, simultaneous demonstration of an array of cavities with precise spacing and sizes is possible where the size of cavities is widely tunable. Approach: Using a maskless lithography setup, pillars with base and tip diameters of 250 and 60μm are fabricated, which are used for demonstration of microspheres with tunable size, depending on the applied pump pressure. Microcavities composed of Rhodamine B dissolved in glycerol are injected into the polydimethylsiloxane carrier through a nozzle with a diameter of 70 μm. Results: An ∼4-μJ / mm2 lasing threshold has been obtained for a laser with a microsphere diameter of ∼350 μm. A redshift originated from the increment of dye concentration has also been observed. Conclusions: Fabrication of precise microspheres for a microresonator laser is feasible using the introduced method, which can be further generalized using different active materials.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"26 1","pages":"045501 - 045501"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89356386","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}