首页 > 最新文献

Journal of Micro/Nanolithography, MEMS, and MOEMS最新文献

英文 中文
Method to fabricate taper waveguide using fixed-beam moving stage electron-beam lithography 用固定光束移动台电子束光刻技术制作锥形波导的方法
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-10-01 DOI: 10.1117/1.JMM.18.4.043503
V. Mere, S. Selvaraja
Abstract. A method of tapering waveguides using fixed-electronic-beam-moving-stage (FBMS) paths is presented. The tapering is achieved by joining two FBMS paths to a common point. Compared to conventional area and FBMS tapering methods, the proposed method offers smooth and alignment-error-free tapering between waveguides of different widths. We experimentally demonstrate a fully functional FBMS patterned photonic circuit with a power splitter, wire-to-slot coupler, slot waveguide, and a slotted ring resonator. The device response with an insertion loss of −1.35  dB is measured around 1550-nm wavelength.
摘要提出了一种利用固定电子束移动级(FBMS)路径使波导变细的方法。锥形是通过将两个FBMS路径连接到一个公共点来实现的。与传统的面积渐变和FBMS渐变方法相比,该方法在不同宽度的波导之间提供了平滑和无对准误差的渐变。我们通过实验演示了一个全功能的FBMS图像化光子电路,该电路具有功率分路器、线槽耦合器、槽波导和槽环形谐振器。在1550 nm波长附近测量了器件的响应,插入损耗为−1.35 dB。
{"title":"Method to fabricate taper waveguide using fixed-beam moving stage electron-beam lithography","authors":"V. Mere, S. Selvaraja","doi":"10.1117/1.JMM.18.4.043503","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.043503","url":null,"abstract":"Abstract. A method of tapering waveguides using fixed-electronic-beam-moving-stage (FBMS) paths is presented. The tapering is achieved by joining two FBMS paths to a common point. Compared to conventional area and FBMS tapering methods, the proposed method offers smooth and alignment-error-free tapering between waveguides of different widths. We experimentally demonstrate a fully functional FBMS patterned photonic circuit with a power splitter, wire-to-slot coupler, slot waveguide, and a slotted ring resonator. The device response with an insertion loss of −1.35  dB is measured around 1550-nm wavelength.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"45 1","pages":"043503 - 043503"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73754430","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Kinetic approach to defect reduction in directed self-assembly 定向自组装中缺陷减少的动力学方法
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-10-01 DOI: 10.1117/1.JMM.18.4.043502
Jiajing Li, Paulina A. Rincon-Delgadillo, H. Suh, G. Mannaert, P. Nealey
Abstract. As a potential solution to next-generation nanolithography, directed self-assembly (DSA) of block copolymers (BCPs) is still restrained in high-volume manufacturing primarily due to its defectivity issue. Though defects possess greater free energies than aligned morphologies and are highly energetically unfavorable, they can be kinetically trapped by the energy barriers and persist for a long time during annealing. Therefore, understanding the kinetics of defect annihilation is crucial in revealing the mechanism of defect formation and in further reducing defectivity in DSA. We focus on two types of predominant defects in DSA—dislocation and bridge. A kinetic model of each defect type is developed through statistical analysis of experimental data, providing insight into possible approaches of further defect reduction. We also investigate the impact of annealing temperature and film thickness on annihilation kinetics and discuss the reasons behind the observed results. By simply optimizing annealing conditions and film thickness, we have successfully reduced the total defect density by 1 order of magnitude. Though these findings are based on polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA), we anticipate they could be readily applied to other BCP platforms as well.
摘要作为下一代纳米光刻技术的潜在解决方案,嵌段共聚物(bcp)的定向自组装(DSA)仍然受到大批量生产的限制,主要是由于其缺陷问题。虽然缺陷比排列形态具有更大的自由能,并且在能量上非常不利,但它们可以被能量势垒动态捕获并在退火过程中持续很长时间。因此,了解缺陷湮灭动力学对于揭示缺陷形成机制和进一步降低DSA缺陷至关重要。我们重点研究了dsa中两种主要的缺陷——位错和桥。通过对实验数据的统计分析,建立了每种缺陷类型的动力学模型,为进一步减少缺陷的可能方法提供了见解。我们还研究了退火温度和薄膜厚度对湮灭动力学的影响,并讨论了观察结果背后的原因。通过简单地优化退火条件和薄膜厚度,我们成功地将总缺陷密度降低了一个数量级。虽然这些发现是基于聚苯乙烯-b-聚甲基丙烯酸甲酯(PS-b-PMMA),但我们预计它们也可以很容易地应用于其他BCP平台。
{"title":"Kinetic approach to defect reduction in directed self-assembly","authors":"Jiajing Li, Paulina A. Rincon-Delgadillo, H. Suh, G. Mannaert, P. Nealey","doi":"10.1117/1.JMM.18.4.043502","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.043502","url":null,"abstract":"Abstract. As a potential solution to next-generation nanolithography, directed self-assembly (DSA) of block copolymers (BCPs) is still restrained in high-volume manufacturing primarily due to its defectivity issue. Though defects possess greater free energies than aligned morphologies and are highly energetically unfavorable, they can be kinetically trapped by the energy barriers and persist for a long time during annealing. Therefore, understanding the kinetics of defect annihilation is crucial in revealing the mechanism of defect formation and in further reducing defectivity in DSA. We focus on two types of predominant defects in DSA—dislocation and bridge. A kinetic model of each defect type is developed through statistical analysis of experimental data, providing insight into possible approaches of further defect reduction. We also investigate the impact of annealing temperature and film thickness on annihilation kinetics and discuss the reasons behind the observed results. By simply optimizing annealing conditions and film thickness, we have successfully reduced the total defect density by 1 order of magnitude. Though these findings are based on polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA), we anticipate they could be readily applied to other BCP platforms as well.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"17 1","pages":"043502 - 043502"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83052207","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Stability studies on a sensitive EUV photoresist based on zinc metal oxoclusters 基于锌金属氧团簇的EUV光刻胶的稳定性研究
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-10-01 DOI: 10.1117/1.JMM.18.4.043504
N. Thakur, L. Tseng, M. Vockenhuber, Y. Ekinci, S. Castellanos
Abstract. Background: Hybrid inorganic-organic materials have emerged as promising candidates for EUV resists. However, knowledge on their stability when deposited as thin films is essential for their performance in EUV lithography. Aim: We investigate whether the molecular structure of Zn-based metal oxoclusters is preserved upon thin film deposition and study aging processes of the thin film under different atmospheres, since these chemical changes affect the solubility properties of the material. Approach: A hybrid cluster that combines the high EUV photon absorption cross-sections of zinc and fluorine with the reactivity of methacrylate organic ligands was synthesized. The structural modifications upon thin film formation and after aging in air, nitrogen, and vacuum were studied using a combination of spectroscopic techniques. Preliminary studies on the lithographic performance of this material were performed by EUV interference lithography. Results: The Zn-based compound undergoes structural rearrangements upon thin film deposition as compared to the bulk material. The thin films degrade in air over 24 h, yet they are found to be stable for the duration and conditions of the lithography process and show high sensitivity. Conclusions: The easy dissociation of the ligands might facilitate hydrolysis and rearrangements after spin-coating, which could affect the reproducibility of EUV lithography.
摘要背景:无机-有机杂化材料已成为极紫外光阻剂的有前途的候选材料。然而,了解它们作为薄膜沉积时的稳定性对于它们在EUV光刻中的性能至关重要。目的:研究锌基金属氧团簇在薄膜沉积过程中是否保留了分子结构,并研究薄膜在不同气氛下的老化过程,因为这些化学变化会影响材料的溶解度。方法:合成了一种将锌和氟的高极紫外光子吸收截面与甲基丙烯酸酯有机配体的反应性相结合的杂化簇。结合光谱技术研究了薄膜形成时和在空气、氮气和真空中老化后的结构变化。利用EUV干涉光刻技术对该材料的光刻性能进行了初步研究。结果:相对于块状材料,锌基化合物在薄膜沉积过程中发生了结构重排。薄膜在空气中降解超过24小时,但发现它们在光刻过程的持续时间和条件下是稳定的,并表现出高灵敏度。结论:该配体易解离,可促进旋涂后的水解和重排,影响EUV光刻的再现性。
{"title":"Stability studies on a sensitive EUV photoresist based on zinc metal oxoclusters","authors":"N. Thakur, L. Tseng, M. Vockenhuber, Y. Ekinci, S. Castellanos","doi":"10.1117/1.JMM.18.4.043504","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.043504","url":null,"abstract":"Abstract. Background: Hybrid inorganic-organic materials have emerged as promising candidates for EUV resists. However, knowledge on their stability when deposited as thin films is essential for their performance in EUV lithography. Aim: We investigate whether the molecular structure of Zn-based metal oxoclusters is preserved upon thin film deposition and study aging processes of the thin film under different atmospheres, since these chemical changes affect the solubility properties of the material. Approach: A hybrid cluster that combines the high EUV photon absorption cross-sections of zinc and fluorine with the reactivity of methacrylate organic ligands was synthesized. The structural modifications upon thin film formation and after aging in air, nitrogen, and vacuum were studied using a combination of spectroscopic techniques. Preliminary studies on the lithographic performance of this material were performed by EUV interference lithography. Results: The Zn-based compound undergoes structural rearrangements upon thin film deposition as compared to the bulk material. The thin films degrade in air over 24 h, yet they are found to be stable for the duration and conditions of the lithography process and show high sensitivity. Conclusions: The easy dissociation of the ligands might facilitate hydrolysis and rearrangements after spin-coating, which could affect the reproducibility of EUV lithography.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"37 1","pages":"043504 - 043504"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78556704","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Overlay error investigation for metal containing resist 含抗蚀剂金属覆盖层误差研究
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-10-01 DOI: 10.1117/1.JMM.18.4.044001
R. Gronheid, Satomi Higashibata, Onur N. Demirer, Yusuke Tanaka, D. van den Heuvel, M. Mao, Masaru Suzuki, S. Nagai, Waikin Li, P. Leray
Abstract. Metal containing resists (MCR) are one of the candidates for extreme ultraviolet resists aiming to achieve the resolution, linewidth roughness, and sensitivity requirements of advanced design nodes. MCRs intrinsically have high etch resistance owing to their metal content. Therefore, low resist thickness (∼18  nm) is sufficient to transfer resist patterns into an underlying hard mask. Also, the thin resist reduces susceptibility to pattern collapse during development because of low aspect ratio. However, thus far, little attention has been paid to optical metrology and inspectability (overlay, defect inspection, scatterometry, etc.) of these resists, which is another critical requirement to move MCR toward high-volume manufacturing. We investigate the overlay metrology and overlay correction with MCR. Even though the optical contrast for MCR is slightly lower than for chemically amplified resist (CAR), it seemed sufficient for high-quality overlay metrology. However, the measurement precision for MCR is deteriorated compared to that for CAR, resulting in significantly higher residuals. The root cause of the deteriorated measurement precision was found in grains in the optical image after MCR development. Interestingly, the after etch performance of CAR and MCR is identical. We demonstrate that with sufficient sampling, appropriate correctables can be extracted from the MCR overlay results. Finally, we discuss how the increased image noise can be compensated by the applied sampling scheme.
摘要含金属抗蚀剂(MCR)是极紫外抗蚀剂的候选材料之一,旨在达到先进设计节点的分辨率、线宽粗糙度和灵敏度要求。mcr的金属含量使其具有较高的耐蚀性。因此,低抗蚀剂厚度(~ 18 nm)足以将抗蚀剂图案转移到底层的硬掩模中。此外,由于低纵横比,薄抗蚀剂减少了在显影过程中对图案坍塌的敏感性。然而,到目前为止,很少有人关注这些抗蚀剂的光学计量和可检测性(覆盖、缺陷检测、散射测量等),这是将MCR推向大批量生产的另一个关键要求。研究了基于MCR的叠加计量和叠加校正方法。尽管MCR的光学对比度略低于化学放大抗蚀剂(CAR),但它似乎足以用于高质量的覆盖计量。然而,与CAR相比,MCR的测量精度下降了,导致残差明显更高。MCR显影后光学图像中的颗粒是导致测量精度下降的根本原因。有趣的是,CAR和MCR的蚀刻后性能是相同的。我们证明,通过足够的采样,可以从MCR叠加结果中提取适当的校正值。最后,我们讨论了如何通过应用采样方案来补偿增加的图像噪声。
{"title":"Overlay error investigation for metal containing resist","authors":"R. Gronheid, Satomi Higashibata, Onur N. Demirer, Yusuke Tanaka, D. van den Heuvel, M. Mao, Masaru Suzuki, S. Nagai, Waikin Li, P. Leray","doi":"10.1117/1.JMM.18.4.044001","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.044001","url":null,"abstract":"Abstract. Metal containing resists (MCR) are one of the candidates for extreme ultraviolet resists aiming to achieve the resolution, linewidth roughness, and sensitivity requirements of advanced design nodes. MCRs intrinsically have high etch resistance owing to their metal content. Therefore, low resist thickness (∼18  nm) is sufficient to transfer resist patterns into an underlying hard mask. Also, the thin resist reduces susceptibility to pattern collapse during development because of low aspect ratio. However, thus far, little attention has been paid to optical metrology and inspectability (overlay, defect inspection, scatterometry, etc.) of these resists, which is another critical requirement to move MCR toward high-volume manufacturing. We investigate the overlay metrology and overlay correction with MCR. Even though the optical contrast for MCR is slightly lower than for chemically amplified resist (CAR), it seemed sufficient for high-quality overlay metrology. However, the measurement precision for MCR is deteriorated compared to that for CAR, resulting in significantly higher residuals. The root cause of the deteriorated measurement precision was found in grains in the optical image after MCR development. Interestingly, the after etch performance of CAR and MCR is identical. We demonstrate that with sufficient sampling, appropriate correctables can be extracted from the MCR overlay results. Finally, we discuss how the increased image noise can be compensated by the applied sampling scheme.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"39 1","pages":"044001 - 044001"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79580278","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Focus leveling improvement using optimized wafer edge settings 使用优化的晶圆边缘设置来提高对焦水平
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-10-01 DOI: 10.1117/1.JMM.18.4.043505
Lucas Lamonds, Bryan Orf, Michael Frachel, X. Thrun, G. Erley, Philip Groeger, Alexander Muehle, B. Habets
Abstract. Background: To reduce defocus from leveling errors at the wafer edge, modern exposure tools offer a broad range of advanced leveling controls. These additional degrees of freedom offer better leveling performance, but users hesitate to spend the tool time, wafers, and engineering hours necessary to find and maintain the optimal settings experimentally. Aim: In order to fully explore the potential of advanced leveling controls, an automated, fast simulation method should be introduced. Approach: Alternative set-point curves and resulting focus residuals are simulated from existing wafer height maps. Optimizations are carried out to obtain the best edge exclusion settings for several dynamic random access memory and NAND flash memory products, across different layers and exposure tools. The simulated focus errors are compared to the POR settings and verified with electrical results. Results: An efficient optimization algorithm was demonstrated and significant leveling improvements found for a number of use cases. The resulting settings vary substantially between different products, layers, and exposure tools. The impact of the improved leveling performance is verified using electrical data. Conclusions: The speed of the presented method proves crucial to help lithographers dial in and maintain numerous settings at optimal values across a typical production line.
摘要背景:为了减少晶圆边缘的调平误差引起的散焦,现代曝光工具提供了广泛的高级调平控制。这些额外的自由度提供了更好的调平性能,但用户不愿意花费必要的工具时间、晶圆和工程时间来寻找和维护实验中的最佳设置。目的:为了充分挖掘先进调平控制的潜力,需要引入一种自动化、快速的仿真方法。方法:根据现有的晶圆高度图模拟可选的设定点曲线和产生的焦点残差。对几种动态随机存取存储器和NAND闪存产品进行了优化,以获得跨不同层和曝光工具的最佳边缘排除设置。将模拟的焦距误差与POR设置进行了比较,并与电学结果进行了验证。结果:证明了一个有效的优化算法,并在许多用例中发现了显著的水平改进。结果设置在不同的产品、图层和曝光工具之间有很大差异。利用电学数据验证了调平性能改善的影响。结论:所提出的方法的速度被证明是至关重要的,可以帮助光刻工在一条典型的生产线上拨号并保持众多设置在最佳值。
{"title":"Focus leveling improvement using optimized wafer edge settings","authors":"Lucas Lamonds, Bryan Orf, Michael Frachel, X. Thrun, G. Erley, Philip Groeger, Alexander Muehle, B. Habets","doi":"10.1117/1.JMM.18.4.043505","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.043505","url":null,"abstract":"Abstract. Background: To reduce defocus from leveling errors at the wafer edge, modern exposure tools offer a broad range of advanced leveling controls. These additional degrees of freedom offer better leveling performance, but users hesitate to spend the tool time, wafers, and engineering hours necessary to find and maintain the optimal settings experimentally. Aim: In order to fully explore the potential of advanced leveling controls, an automated, fast simulation method should be introduced. Approach: Alternative set-point curves and resulting focus residuals are simulated from existing wafer height maps. Optimizations are carried out to obtain the best edge exclusion settings for several dynamic random access memory and NAND flash memory products, across different layers and exposure tools. The simulated focus errors are compared to the POR settings and verified with electrical results. Results: An efficient optimization algorithm was demonstrated and significant leveling improvements found for a number of use cases. The resulting settings vary substantially between different products, layers, and exposure tools. The impact of the improved leveling performance is verified using electrical data. Conclusions: The speed of the presented method proves crucial to help lithographers dial in and maintain numerous settings at optimal values across a typical production line.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"40 1","pages":"043505 - 043505"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78094393","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Reduction in a-Si:H density utilizing a secondary plasma 利用二次等离子体降低a- si:H密度
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-10-01 DOI: 10.1117/1.JMM.18.4.044502
Jan Uhilg, D. Barlaz, D. Ruzic
Abstract. Following the need to improve packaging and contact layers for photovoltaics and other optoelectronic applications, a renewed interest in the fabrication of thin, low-density silicon films has arisen. We demonstrate a reactive sputtering technique utilizing a secondary plasma to crack hydrogen gas during physical vapor deposition of silicon layers. Cracking efficiency of the gas varies heavily with pressure and power from under 10% to nearly 100% conversion to hydrogen radicals. Radicals incorporated into the film produce amorphous silicon films with densities as low as 1.73  g  /  cm3, compared to 2.2  g  /  cm3 in their nonhydrogenated counterparts. Reduced density films likewise have a reduction in index of refraction comparable to other hydrogenated amorphous silicon produced by other techniques with indices close to 2 across the visible portion of the spectrum. Our work represents a useful, scalable advance in the production of amorphous hydrogenated silicon for a variety of applications requiring large areas.
摘要由于需要改进光伏和其他光电应用的封装和接触层,人们对制造薄而低密度的硅薄膜产生了新的兴趣。我们展示了一种反应溅射技术,利用二次等离子体在硅层的物理气相沉积过程中裂解氢气。氢气自由基的裂解效率随压力和功率变化很大,从低于10%到接近100%。自由基加入到薄膜中产生密度低至1.73 g / cm3的非晶硅薄膜,而非氢化的薄膜密度为2.2 g / cm3。同样,低密度薄膜的折射率降低,与其他技术生产的其他氢化非晶硅相比,在光谱可见部分的折射率接近2。我们的工作代表了非晶氢化硅生产的有用的、可扩展的进步,适用于各种需要大面积的应用。
{"title":"Reduction in a-Si:H density utilizing a secondary plasma","authors":"Jan Uhilg, D. Barlaz, D. Ruzic","doi":"10.1117/1.JMM.18.4.044502","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.044502","url":null,"abstract":"Abstract. Following the need to improve packaging and contact layers for photovoltaics and other optoelectronic applications, a renewed interest in the fabrication of thin, low-density silicon films has arisen. We demonstrate a reactive sputtering technique utilizing a secondary plasma to crack hydrogen gas during physical vapor deposition of silicon layers. Cracking efficiency of the gas varies heavily with pressure and power from under 10% to nearly 100% conversion to hydrogen radicals. Radicals incorporated into the film produce amorphous silicon films with densities as low as 1.73  g  /  cm3, compared to 2.2  g  /  cm3 in their nonhydrogenated counterparts. Reduced density films likewise have a reduction in index of refraction comparable to other hydrogenated amorphous silicon produced by other techniques with indices close to 2 across the visible portion of the spectrum. Our work represents a useful, scalable advance in the production of amorphous hydrogenated silicon for a variety of applications requiring large areas.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"120 1","pages":"044502 - 044502"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79380946","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Improved HNA isotropic etching for large-scale highly symmetric toroidal silicon molds with <10-nm roughness 改进的HNA各向同性刻蚀,用于粗糙度<10 nm的大规模高对称环形硅模具
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-10-01 DOI: 10.1117/1.JMM.18.4.044501
Zesen Bai, Yinpeng Wang, Qiancheng Zhao, Zhenchuan Yang, J. Cui, G. Yan
Abstract. Microsystem technology is well suited to batch fabricate microhemispherical resonator gyroscopes (HRG) to reduce cost and volume. In the processing of micro-HRG, a crucial step is to get a 3-D hemispherical mold with the large-scale, high-symmetry, and smooth surface. Compared with the hemispherical resonator, the toroidal resonator has the smaller frequency split and larger effective resonance mass under the same processing accuracy. A wafer-scale etching method for the toroidal resonator mold was presented, which is based on the deep reactive ion etching and improved HNA isotropic etching. The advantages of this method include low cost, time savings, and easy operation. With this method, toroidal molds with an average diameter over 1900  μm, asymmetry <0.2  %  , and roughness <10  nm were successfully fabricated. The uniformity and surface smoothness of the molds are mainly determined by the parameters of HNA etching. A series of controlled experiments were conducted to optimize isotropic etching parameters that include mask design, bath agitation, HNA composition, and temperature. The influence of these parameters on etching rate and uniformity was discussed. The result shows that the composition of 2.5:7:1 (HF  :  HNO3  :  CH3COOH), temperature of 30°C, and bath agitation of 20 revolutions per minute are optimal etching conditions to achieve high-performance molds.
摘要微系统技术非常适合批量制造微半球谐振陀螺仪(HRG),以降低成本和体积。在微hrg的加工中,获得具有大规模、高对称性和光滑表面的三维半球形模具是一个关键步骤。与半球形谐振器相比,在相同加工精度下,环形谐振器具有更小的频率分裂和更大的有效共振质量。提出了一种基于深度反应离子刻蚀和改进的HNA各向同性刻蚀的环形谐振腔模具晶圆级刻蚀方法。该方法具有成本低、节省时间、操作方便等优点。利用该方法成功制备出平均直径超过1900 μm、不对称度< 0.2%、粗糙度<10 nm的环形模具。模具的均匀性和表面光洁度主要由海航蚀刻工艺参数决定。为了优化各向同性刻蚀参数,我们进行了一系列的对照实验,包括掩膜设计、镀液搅拌、HNA成分和温度。讨论了这些参数对刻蚀速率和均匀性的影响。结果表明:2.5:7:1 (HF: HNO3: CH3COOH)的组成、30℃的温度、20转/ min的搅拌是获得高性能模具的最佳蚀刻条件。
{"title":"Improved HNA isotropic etching for large-scale highly symmetric toroidal silicon molds with <10-nm roughness","authors":"Zesen Bai, Yinpeng Wang, Qiancheng Zhao, Zhenchuan Yang, J. Cui, G. Yan","doi":"10.1117/1.JMM.18.4.044501","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.044501","url":null,"abstract":"Abstract. Microsystem technology is well suited to batch fabricate microhemispherical resonator gyroscopes (HRG) to reduce cost and volume. In the processing of micro-HRG, a crucial step is to get a 3-D hemispherical mold with the large-scale, high-symmetry, and smooth surface. Compared with the hemispherical resonator, the toroidal resonator has the smaller frequency split and larger effective resonance mass under the same processing accuracy. A wafer-scale etching method for the toroidal resonator mold was presented, which is based on the deep reactive ion etching and improved HNA isotropic etching. The advantages of this method include low cost, time savings, and easy operation. With this method, toroidal molds with an average diameter over 1900  μm, asymmetry <0.2  %  , and roughness <10  nm were successfully fabricated. The uniformity and surface smoothness of the molds are mainly determined by the parameters of HNA etching. A series of controlled experiments were conducted to optimize isotropic etching parameters that include mask design, bath agitation, HNA composition, and temperature. The influence of these parameters on etching rate and uniformity was discussed. The result shows that the composition of 2.5:7:1 (HF  :  HNO3  :  CH3COOH), temperature of 30°C, and bath agitation of 20 revolutions per minute are optimal etching conditions to achieve high-performance molds.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"12 1","pages":"044501 - 044501"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81615057","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Retrospective on VLSI value scaling and lithography VLSI价值标刻与光刻技术回顾
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-10-01 DOI: 10.1117/1.JMM.18.4.040902
M. Rieger
Abstract. In recent decades, the rate of shrinking integrated-circuit components has slowed as challenges accumulate. Yet, in part by virtue of an accelerating rate of cleverness, the end-user value of new semiconductor processes steadily advances. On top of the miniaturization benefits delivered by optical lithography, value is boosted by innovations in wafer processing, mask synthesis, materials and devices, microarchitecture, and circuit design. Focusing on three decades of microprocessor data enables quantification of how innovations from those domains have contributed over time to integrated-circuit “value scaling” in terms of performance, power, and cost. At some point, lateral shrinking will end altogether and the kinds of ingenuity emerging from those domains may provide clues for how very large-scale integration value creation will advance beyond that point.
摘要近几十年来,随着挑战的累积,集成电路元件体积缩小的速度已经放缓。然而,在某种程度上,由于智能的加速发展,新型半导体工艺的终端用户价值稳步提升。除了光学光刻技术带来的小型化优势之外,晶圆加工、掩模合成、材料和器件、微架构和电路设计方面的创新也提升了价值。专注于三十年的微处理器数据,可以量化这些领域的创新如何随着时间的推移对集成电路在性能、功耗和成本方面的“价值缩放”做出贡献。在某种程度上,横向萎缩将完全结束,从这些领域出现的各种独创性可能会为大规模集成价值创造如何超越这一点提供线索。
{"title":"Retrospective on VLSI value scaling and lithography","authors":"M. Rieger","doi":"10.1117/1.JMM.18.4.040902","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.040902","url":null,"abstract":"Abstract. In recent decades, the rate of shrinking integrated-circuit components has slowed as challenges accumulate. Yet, in part by virtue of an accelerating rate of cleverness, the end-user value of new semiconductor processes steadily advances. On top of the miniaturization benefits delivered by optical lithography, value is boosted by innovations in wafer processing, mask synthesis, materials and devices, microarchitecture, and circuit design. Focusing on three decades of microprocessor data enables quantification of how innovations from those domains have contributed over time to integrated-circuit “value scaling” in terms of performance, power, and cost. At some point, lateral shrinking will end altogether and the kinds of ingenuity emerging from those domains may provide clues for how very large-scale integration value creation will advance beyond that point.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"41 1","pages":"040902 - 040902"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79061373","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Design, simulation, and fabrication of three-dimensional microsystem components using grayscale photolithography 使用灰度光刻技术设计、模拟和制造三维微系统组件
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-10-01 DOI: 10.1117/1.JMM.18.4.043507
Melissa A. Smith, S. Berry, L. Parameswaran, Christopher Holtsberg, N. Siegel, Ronald Lockwood, M. Chrisp, Daniel Freeman, M. Rothschild
Abstract. Grayscale lithography is a widely known but underutilized microfabrication technique for creating three-dimensional (3-D) microstructures in photoresist. One of the hurdles for its widespread use is that developing the grayscale photolithography masks can be time-consuming and costly since it often requires an iterative process, especially for complex geometries. We discuss the use of PROLITH, a lithography simulation tool, to predict 3-D photoresist profiles from grayscale mask designs. Several examples of optical microsystems and microelectromechanical systems where PROLITH was used to validate the mask design prior to implementation in the microfabrication process are presented. In all examples, PROLITH was able to accurately and quantitatively predict resist profiles, which reduced both design time and the number of trial photomasks, effectively reducing the cost of component fabrication.
摘要灰度光刻技术是一种广为人知但尚未充分利用的微加工技术,用于在光刻胶中创建三维(3-D)微结构。其广泛使用的障碍之一是开发灰度光刻掩模可能是耗时和昂贵的,因为它通常需要一个迭代的过程,特别是复杂的几何形状。我们讨论了使用PROLITH,一个光刻模拟工具,从灰度掩模设计中预测三维光刻胶轮廓。介绍了几个光学微系统和微机电系统的例子,其中PROLITH用于在微加工过程中实施之前验证掩模设计。在所有示例中,PROLITH能够准确定量地预测抗蚀剂轮廓,从而减少了设计时间和试验光掩膜的数量,有效地降低了组件制造成本。
{"title":"Design, simulation, and fabrication of three-dimensional microsystem components using grayscale photolithography","authors":"Melissa A. Smith, S. Berry, L. Parameswaran, Christopher Holtsberg, N. Siegel, Ronald Lockwood, M. Chrisp, Daniel Freeman, M. Rothschild","doi":"10.1117/1.JMM.18.4.043507","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.043507","url":null,"abstract":"Abstract. Grayscale lithography is a widely known but underutilized microfabrication technique for creating three-dimensional (3-D) microstructures in photoresist. One of the hurdles for its widespread use is that developing the grayscale photolithography masks can be time-consuming and costly since it often requires an iterative process, especially for complex geometries. We discuss the use of PROLITH, a lithography simulation tool, to predict 3-D photoresist profiles from grayscale mask designs. Several examples of optical microsystems and microelectromechanical systems where PROLITH was used to validate the mask design prior to implementation in the microfabrication process are presented. In all examples, PROLITH was able to accurately and quantitatively predict resist profiles, which reduced both design time and the number of trial photomasks, effectively reducing the cost of component fabrication.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"2010 1","pages":"043507 - 043507"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78461222","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
Tunable microlaser based on precisely formed dye-doped microsphere cavity 基于精确形成的染料掺杂微球腔的可调谐微激光器
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-10-01 DOI: 10.1117/1.JMM.18.4.045501
B. Mohammadfam, H. Veladi, R. Yadipour, H. Khoshsima
Abstract. Background: The benefits of microresonator lasers include easy and low-cost fabrication methods and high-quality factor of microresonators, which results in low threshold current of the fabricated laser. However, the lack of tunable fabrication methods with precise size and spacing is a great challenge. Aim: Based on a microsphere fabrication method that relies on injection and surface tension effects, simultaneous demonstration of an array of cavities with precise spacing and sizes is possible where the size of cavities is widely tunable. Approach: Using a maskless lithography setup, pillars with base and tip diameters of 250 and 60μm are fabricated, which are used for demonstration of microspheres with tunable size, depending on the applied pump pressure. Microcavities composed of Rhodamine B dissolved in glycerol are injected into the polydimethylsiloxane carrier through a nozzle with a diameter of 70  μm. Results: An ∼4-μJ  /  mm2 lasing threshold has been obtained for a laser with a microsphere diameter of ∼350  μm. A redshift originated from the increment of dye concentration has also been observed. Conclusions: Fabrication of precise microspheres for a microresonator laser is feasible using the introduced method, which can be further generalized using different active materials.
摘要背景:微谐振腔激光器的优点是制造方法简单、成本低、微谐振腔因数高,这使得所制造的激光器具有低阈值电流。然而,缺乏具有精确尺寸和间距的可调制造方法是一个巨大的挑战。目的:基于一种依赖于注射和表面张力效应的微球制造方法,可以同时展示具有精确间距和尺寸的空腔阵列,其中空腔的尺寸可广泛调节。方法:使用无掩模光刻装置,制作基底和尖端直径分别为250 μm和60μm的柱,用于演示根据所施加的泵压力可调节尺寸的微球。将罗丹明B溶于甘油组成的微腔通过直径为70 μm的喷嘴注入聚二甲基硅氧烷载体中。结果:对于微球直径为~ 350 μm的激光器,获得了~ 4 μ j / mm2的激光阈值。还观察到由于染料浓度的增加而产生的红移。结论:采用该方法制备微谐振腔激光器所需的精密微球是可行的,可进一步推广使用不同的活性材料。
{"title":"Tunable microlaser based on precisely formed dye-doped microsphere cavity","authors":"B. Mohammadfam, H. Veladi, R. Yadipour, H. Khoshsima","doi":"10.1117/1.JMM.18.4.045501","DOIUrl":"https://doi.org/10.1117/1.JMM.18.4.045501","url":null,"abstract":"Abstract. Background: The benefits of microresonator lasers include easy and low-cost fabrication methods and high-quality factor of microresonators, which results in low threshold current of the fabricated laser. However, the lack of tunable fabrication methods with precise size and spacing is a great challenge. Aim: Based on a microsphere fabrication method that relies on injection and surface tension effects, simultaneous demonstration of an array of cavities with precise spacing and sizes is possible where the size of cavities is widely tunable. Approach: Using a maskless lithography setup, pillars with base and tip diameters of 250 and 60μm are fabricated, which are used for demonstration of microspheres with tunable size, depending on the applied pump pressure. Microcavities composed of Rhodamine B dissolved in glycerol are injected into the polydimethylsiloxane carrier through a nozzle with a diameter of 70  μm. Results: An ∼4-μJ  /  mm2 lasing threshold has been obtained for a laser with a microsphere diameter of ∼350  μm. A redshift originated from the increment of dye concentration has also been observed. Conclusions: Fabrication of precise microspheres for a microresonator laser is feasible using the introduced method, which can be further generalized using different active materials.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"26 1","pages":"045501 - 045501"},"PeriodicalIF":2.3,"publicationDate":"2019-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89356386","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
Journal of Micro/Nanolithography, MEMS, and MOEMS
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1