首页 > 最新文献

Journal of Micro/Nanolithography, MEMS, and MOEMS最新文献

英文 中文
Probability prediction model for bridging defects induced by combined influences from lithography and etch variations 由光刻和蚀刻变化共同影响引起的桥接缺陷的概率预测模型
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-05-30 DOI: 10.1117/1.JMM.18.2.023503
Xiaojing Su, Dong Shen, Yayi Wei, Taian Fan, Lisong Dong, Libin Zhang, Yajuan Su, Rui Chen, Tianchun Ye
Abstract. Background: As semiconductor technologies continue to shrink, the growth in the number of process variables and combined effects tighten the overall process window, which leads to a more serious yield loss. Yield cannot be totally guaranteed by design rule check and verifications of optical proximity correction, due to complex process variations. The joint effects from unreasonable designs and unstable control of critical dimensions and overlay mainly contribute to the formation of bridging defects in critical interconnect layers. Aim: Our paper puts forward a model to detect the potential bridging region and predicts the corresponding failure probability under a litho-etch-litho-etch process. Approach: The proposed model is based on input error sources from variations of lithography and etch processes. In this scheme, bridging is expected when the minimum space of simulated postetch contours within a specific range is smaller than a user-defined bridging threshold. Gaussian distribution characteristics of line edge roughness (LER) and overlay are considered in the proposed model. Moreover, the proposed model provides meaningful guidelines for bridging prediction with the use of process variation bands. Results: The experiment results indicate consistency and validity of theoretical derivation of the proposed model. The concrete impacts of LER and overlay on the model have been quantitatively analyzed as well. Conclusions: According to the predicted probabilities, the model can early discover potential bridging defects quantitatively by considering the statistical properties of process variations with very few calculations and can give a ranking of failure severity as a decision foundation for design rule optimization.
摘要背景:随着半导体技术的不断萎缩,工艺变量数量的增加和综合效应使整个工艺窗口收紧,从而导致更严重的良率损失。由于复杂的工艺变化,光邻近校正的设计规则检查和验证不能完全保证成品率。设计不合理以及临界尺寸和覆盖层控制不稳定的共同作用是导致关键互连层桥接缺陷形成的主要原因。目的:本文提出了一种检测蚀刻过程中潜在桥接区域并预测相应失效概率的模型。方法:所提出的模型是基于来自光刻和蚀刻工艺变化的输入误差源。在该方案中,当模拟后补轮廓在特定范围内的最小空间小于用户定义的桥接阈值时,期望桥接。该模型考虑了线边缘粗糙度和覆盖的高斯分布特性。此外,所提出的模型为使用过程变化带进行桥接预测提供了有意义的指导。结果:实验结果表明了模型理论推导的一致性和有效性。并定量分析了LER和覆盖层对模型的具体影响。结论:该模型可以根据预测概率,通过考虑工艺变化的统计特性,以较少的计算量,早期定量发现潜在的桥接缺陷,并给出故障严重程度排序,作为设计规则优化的决策基础。
{"title":"Probability prediction model for bridging defects induced by combined influences from lithography and etch variations","authors":"Xiaojing Su, Dong Shen, Yayi Wei, Taian Fan, Lisong Dong, Libin Zhang, Yajuan Su, Rui Chen, Tianchun Ye","doi":"10.1117/1.JMM.18.2.023503","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.023503","url":null,"abstract":"Abstract. Background: As semiconductor technologies continue to shrink, the growth in the number of process variables and combined effects tighten the overall process window, which leads to a more serious yield loss. Yield cannot be totally guaranteed by design rule check and verifications of optical proximity correction, due to complex process variations. The joint effects from unreasonable designs and unstable control of critical dimensions and overlay mainly contribute to the formation of bridging defects in critical interconnect layers. Aim: Our paper puts forward a model to detect the potential bridging region and predicts the corresponding failure probability under a litho-etch-litho-etch process. Approach: The proposed model is based on input error sources from variations of lithography and etch processes. In this scheme, bridging is expected when the minimum space of simulated postetch contours within a specific range is smaller than a user-defined bridging threshold. Gaussian distribution characteristics of line edge roughness (LER) and overlay are considered in the proposed model. Moreover, the proposed model provides meaningful guidelines for bridging prediction with the use of process variation bands. Results: The experiment results indicate consistency and validity of theoretical derivation of the proposed model. The concrete impacts of LER and overlay on the model have been quantitatively analyzed as well. Conclusions: According to the predicted probabilities, the model can early discover potential bridging defects quantitatively by considering the statistical properties of process variations with very few calculations and can give a ranking of failure severity as a decision foundation for design rule optimization.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"96 1","pages":"023503 - 023503"},"PeriodicalIF":2.3,"publicationDate":"2019-05-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85607315","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Spiral spring electrostatic actuator for double-layer deformable mirror with continuous phase sheet 连续相片双层可变形镜螺旋弹簧静电执行器
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-05-20 DOI: 10.1117/1.JMM.18.2.025501
Yu Zhou, C. Shafai, J. Dietrich
Abstract. A new electrostatic actuator for a double-layer deformable mirror (DM) with continuous phase sheet is presented. The electrostatic actuator employs an upper electrode consisting of multiple Archimedean spiral spring arms supporting a small mass central attachment point and is capable of separating the parameters of actuation voltage, spring constant, and resonance. The feature reduces the complexity in the designing of a double-layer DM with continuous phase sheet, which is widely employed in the adaptive optics area of astronomy. The fabricated device has a diameter of 1250  μm with four single-crystal silicon spiral arms each having a length of 6030  μm, thickness of 15  μm, and width of 30  μm. The actuator was simulated and tested to have a resonant frequency above 2600 Hz and was simulated to be capable of reaching ∼5-μm displacement with a drive voltage of <30  V with an actuator–electrode separation of 15  μm, based on the performance parameter found experimentally.
摘要提出了一种用于连续相片双层可变形镜的静电致动器。静电致动器采用由多个阿基米德螺旋弹簧臂组成的上电极支撑一个小质量中心附着点,能够分离驱动电压、弹簧常数和谐振参数。该特性降低了具有连续相位片的双层DM的设计复杂性,在天文学自适应光学领域得到了广泛应用。该器件的直径为1250 μm,具有4条长6030 μm、厚15 μm、宽30 μm的单晶硅螺旋臂。仿真结果表明,该驱动器的谐振频率在2600 Hz以上,在驱动电压<30 V、致动器-电极间距为15 μm的条件下,驱动器的位移可达到~ 5 μm。
{"title":"Spiral spring electrostatic actuator for double-layer deformable mirror with continuous phase sheet","authors":"Yu Zhou, C. Shafai, J. Dietrich","doi":"10.1117/1.JMM.18.2.025501","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.025501","url":null,"abstract":"Abstract. A new electrostatic actuator for a double-layer deformable mirror (DM) with continuous phase sheet is presented. The electrostatic actuator employs an upper electrode consisting of multiple Archimedean spiral spring arms supporting a small mass central attachment point and is capable of separating the parameters of actuation voltage, spring constant, and resonance. The feature reduces the complexity in the designing of a double-layer DM with continuous phase sheet, which is widely employed in the adaptive optics area of astronomy. The fabricated device has a diameter of 1250  μm with four single-crystal silicon spiral arms each having a length of 6030  μm, thickness of 15  μm, and width of 30  μm. The actuator was simulated and tested to have a resonant frequency above 2600 Hz and was simulated to be capable of reaching ∼5-μm displacement with a drive voltage of <30  V with an actuator–electrode separation of 15  μm, based on the performance parameter found experimentally.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"6 1","pages":"025501 - 025501"},"PeriodicalIF":2.3,"publicationDate":"2019-05-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85990020","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Influence of secondary effects in the fabrication of submicron resist structures using deep x-ray lithography 二次效应对深x射线光刻制备亚微米抗蚀剂结构的影响
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-05-15 DOI: 10.1117/1.JMM.18.2.023502
A. Faisal, Thomas Beckenbach, J. Mohr, P. Meyer
Abstract. Background: Deep x-ray lithography using synchrotron radiation is a prominent technique in the fabrication of high aspect ratio microstructures. The minimum lateral dimensions producible are limited by the primary dose distribution and secondary effects (Fresnel diffraction, secondary electrons scattering, etc.) during exposure. Aim: The influence of secondary radiation effects on the fabrication of high aspect ratio microstructures with submicrometer lateral dimension by deep x-ray lithography is characterized. Approach: The microstructures under investigation are one-dimensional gratings. The influence of secondary effects on structural dimension is simulated and compared to the experimental results. The quality criteria and possible defects arising in experiments highlight the importance of the mechanical stability of the photoresist. Results: From the simulation results, the minimum period of microstructures that can be produced is about 600 nm. Experimentally, microstructures with 1.2  μm minimum period (resist width of ∼700  nm) and height of ∼10  μm could be fabricated. Conclusions: Simulation results show the feasibility for fabricating gratings with a period less than 1  μm. To achieve these values also in experiment, it is necessary to increase the mechanical stability of the high aspect lamellae. The outcome of these results allows one to reduce the expensive and lengthy product development cycle.
摘要背景:利用同步辐射的深x射线光刻技术是制造高纵横比微结构的重要技术。可生产的最小横向尺寸受暴露期间的初级剂量分布和次级效应(菲涅耳衍射、次级电子散射等)的限制。目的:研究二次辐射效应对深x射线光刻制备横向尺寸为亚微米的高纵横比微结构的影响。方法:所研究的微结构为一维光栅。模拟了二次效应对结构尺寸的影响,并与实验结果进行了比较。质量标准和实验中可能出现的缺陷突出了光刻胶机械稳定性的重要性。结果:从模拟结果来看,可以产生的微结构的最小周期约为600 nm。实验中,可以制备出最小周期为1.2 μm(电阻宽度为~ 700 nm)、高度为~ 10 μm的微结构。结论:仿真结果表明制作周期小于1 μm的光栅是可行的。为了在实验中也能达到这些值,必须提高高向片的机械稳定性。这些结果的结果允许人们减少昂贵和漫长的产品开发周期。
{"title":"Influence of secondary effects in the fabrication of submicron resist structures using deep x-ray lithography","authors":"A. Faisal, Thomas Beckenbach, J. Mohr, P. Meyer","doi":"10.1117/1.JMM.18.2.023502","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.023502","url":null,"abstract":"Abstract. Background: Deep x-ray lithography using synchrotron radiation is a prominent technique in the fabrication of high aspect ratio microstructures. The minimum lateral dimensions producible are limited by the primary dose distribution and secondary effects (Fresnel diffraction, secondary electrons scattering, etc.) during exposure. Aim: The influence of secondary radiation effects on the fabrication of high aspect ratio microstructures with submicrometer lateral dimension by deep x-ray lithography is characterized. Approach: The microstructures under investigation are one-dimensional gratings. The influence of secondary effects on structural dimension is simulated and compared to the experimental results. The quality criteria and possible defects arising in experiments highlight the importance of the mechanical stability of the photoresist. Results: From the simulation results, the minimum period of microstructures that can be produced is about 600 nm. Experimentally, microstructures with 1.2  μm minimum period (resist width of ∼700  nm) and height of ∼10  μm could be fabricated. Conclusions: Simulation results show the feasibility for fabricating gratings with a period less than 1  μm. To achieve these values also in experiment, it is necessary to increase the mechanical stability of the high aspect lamellae. The outcome of these results allows one to reduce the expensive and lengthy product development cycle.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"31 1","pages":"023502 - 023502"},"PeriodicalIF":2.3,"publicationDate":"2019-05-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73482463","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Deep silicon etching for thermopile structures using a modified Bosch process 热电堆结构的深硅蚀刻采用改进的博世工艺
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-05-10 DOI: 10.1117/1.JMM.18.2.024501
Na Zhou, Junjie Li, H. Radamson, Lin Li, Qifeng Jiang, Junfeng Li
Abstract. We present a simple method of deep anisotropic etching of silicon up to 400  μm with nearly vertical sidewall profile for thermopile devices. The method is based on the time-multiplexed etching which is a modified case of the Bosch deep reactive ion etching process. This process is mainly adjusted by chuck power and it is divided into three steps which are zero bias deposition step, high bias polymer removing step, and low bias silicon etching step. Compared with the standard Bosch process, this modified strategy shows advantage of etch rate and selectivity.
摘要我们提出了一种简单的热电堆器件深度各向异性刻蚀方法,刻蚀厚度可达400 μm,边壁轮廓接近垂直。该方法基于时间复用蚀刻,是对博世深度反应离子蚀刻工艺的改进。该工艺主要由卡盘功率调节,分为零偏置沉积、高偏置聚合物去除和低偏置硅蚀刻三个步骤。与标准博世工艺相比,该改进策略在蚀刻速率和选择性方面具有优势。
{"title":"Deep silicon etching for thermopile structures using a modified Bosch process","authors":"Na Zhou, Junjie Li, H. Radamson, Lin Li, Qifeng Jiang, Junfeng Li","doi":"10.1117/1.JMM.18.2.024501","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.024501","url":null,"abstract":"Abstract. We present a simple method of deep anisotropic etching of silicon up to 400  μm with nearly vertical sidewall profile for thermopile devices. The method is based on the time-multiplexed etching which is a modified case of the Bosch deep reactive ion etching process. This process is mainly adjusted by chuck power and it is divided into three steps which are zero bias deposition step, high bias polymer removing step, and low bias silicon etching step. Compared with the standard Bosch process, this modified strategy shows advantage of etch rate and selectivity.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"66 1","pages":"024501 - 024501"},"PeriodicalIF":2.3,"publicationDate":"2019-05-10","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88196157","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Recent advances in 3- to 10-nm quantum optical lithography 3 ~ 10nm量子光学光刻技术的最新进展
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-05-07 DOI: 10.1117/1.JMM.18.2.020501
E. Pavel, G. Prodan, V. Marinescu, R. Trusca
Development of semiconductor technologies requires innovative approaches. Optical lithography, as a key element in this process, needs to be extended into the sub-10 nm range. Manipulation of matter in this range, in order to produce complex patterns, is a challenge for lithographic techniques. A diffraction-unlimited method (quantum optical lithography) for nanofabrication is detailed with applications in prototyping functional nanostructures. The writing method was applied to two different materials: resist and fluorescent glass–ceramics. Complex patterns (rectangles, triangles, and letters) with 3-nm linewidth were obtained on Si3N4 transmission electron microscopy grids covered by the resist.
半导体技术的发展需要创新的方法。光学光刻作为这一过程的关键要素,需要扩展到10纳米以下的范围。为了产生复杂的图案,在这个范围内操纵物质是平版印刷技术的一个挑战。详细介绍了一种不受衍射限制的纳米制造方法(量子光学光刻)在功能纳米结构原型制作中的应用。该方法应用于两种不同的材料:抗蚀剂和荧光玻璃陶瓷。在被抗蚀剂覆盖的Si3N4透射电镜网格上获得了线宽为3nm的复杂图案(矩形、三角形和字母)。
{"title":"Recent advances in 3- to 10-nm quantum optical lithography","authors":"E. Pavel, G. Prodan, V. Marinescu, R. Trusca","doi":"10.1117/1.JMM.18.2.020501","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.020501","url":null,"abstract":"Development of semiconductor technologies requires innovative approaches. Optical lithography, as a key element in this process, needs to be extended into the sub-10 nm range. Manipulation of matter in this range, in order to produce complex patterns, is a challenge for lithographic techniques. A diffraction-unlimited method (quantum optical lithography) for nanofabrication is detailed with applications in prototyping functional nanostructures. The writing method was applied to two different materials: resist and fluorescent glass–ceramics. Complex patterns (rectangles, triangles, and letters) with 3-nm linewidth were obtained on Si3N4 transmission electron microscopy grids covered by the resist.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"2014 1","pages":""},"PeriodicalIF":2.3,"publicationDate":"2019-05-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82718082","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Estimating extremely low probability of stochastic defect in extreme ultraviolet lithography from critical dimension distribution measurement 从临界尺寸分布测量中估计极紫外光刻中极低概率随机缺陷
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-05-03 DOI: 10.1117/1.JMM.18.2.024002
H. Fukuda, Yoshinori Momonoi, Kei Sakai
Abstract. Projection lithography using extreme ultraviolet (EUV) light at 13.5-nm wavelength will be applied to the production of integrated circuits below 7-nm design rules. In pursuit of further miniaturization, however, stochastic pattern defect problems have arisen, and monitoring such defect generation probabilities in extremely low range (<10  −  10) is indispensable. We discuss a method for predicting stochastic defect probabilities from a histogram of feature sizes for patterns several orders of magnitude fewer than the number of features to inspect. Based on our previously introduced probabilistic model of stochastic pattern defect, the defect probability is expressed as the product sum of the probability for edge position and the probability that film defect covers the area between edges, and we describe the latter as a function of edge position. The defect probabilities in the order between 10  −  7 and 10  −  5 were predicted from 105 measurement data for real EUV-exposed wafers, suggesting the effectiveness of the model and its potential for defect inspection.
摘要使用13.5 nm波长的极紫外(EUV)光的投影光刻技术将应用于7 nm以下设计规则的集成电路生产。然而,在追求进一步小型化的过程中,出现了随机模式缺陷问题,在极低的范围内(<10−10)监测这种缺陷的产生概率是必不可少的。我们讨论了一种从特征尺寸直方图中预测随机缺陷概率的方法,该直方图比要检查的特征数量少几个数量级。基于我们之前介绍的随机图案缺陷的概率模型,缺陷概率表示为边缘位置的概率与薄膜缺陷覆盖边缘之间区域的概率的乘积和,并将后者描述为边缘位置的函数。从实际暴露于euv下的105个晶圆的测量数据中预测出10−7到10−5之间的缺陷概率,表明了该模型的有效性及其缺陷检测的潜力。
{"title":"Estimating extremely low probability of stochastic defect in extreme ultraviolet lithography from critical dimension distribution measurement","authors":"H. Fukuda, Yoshinori Momonoi, Kei Sakai","doi":"10.1117/1.JMM.18.2.024002","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.024002","url":null,"abstract":"Abstract. Projection lithography using extreme ultraviolet (EUV) light at 13.5-nm wavelength will be applied to the production of integrated circuits below 7-nm design rules. In pursuit of further miniaturization, however, stochastic pattern defect problems have arisen, and monitoring such defect generation probabilities in extremely low range (<10  −  10) is indispensable. We discuss a method for predicting stochastic defect probabilities from a histogram of feature sizes for patterns several orders of magnitude fewer than the number of features to inspect. Based on our previously introduced probabilistic model of stochastic pattern defect, the defect probability is expressed as the product sum of the probability for edge position and the probability that film defect covers the area between edges, and we describe the latter as a function of edge position. The defect probabilities in the order between 10  −  7 and 10  −  5 were predicted from 105 measurement data for real EUV-exposed wafers, suggesting the effectiveness of the model and its potential for defect inspection.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"23 1","pages":"024002 - 024002"},"PeriodicalIF":2.3,"publicationDate":"2019-05-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88834042","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Random forest-based robust classification for lithographic hotspot detection 基于随机森林的光刻热点检测鲁棒分类
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-05-01 DOI: 10.1117/1.JMM.18.2.023501
Rohit Dawar, S. Barai, Pardeep Kumar, Babji Srinivasan, N. Mohapatra
Abstract. With continuous downscaling of feature sizes, potentially problematic patterns (hotspots) have become a major issue in generation of optimized mask design for better printability. The lithography process sensitive patterns in a design lead to degradation of both electrical performance and manufacturing yield of the integrated circuit. Due to sequential flow of very large-scale integration (VLSI) design and manufacturing, missing any hotspot has an adverse impact on product turnaround time and cost. The lithographic samples are generally defined using a combination of continuous variables (to represent aerial image and pattern density) and categorical variables (to represent allowed layout design rules). The conventional hotspot classification techniques suffer from suboptimum performance due to their inability to efficiently represent and use the above-mentioned feature metrics. In general, the number of hotspots in the lithographic data is much less compared to the total number of patterns in a full-chip design. It makes the input data imbalanced and adds additional difficulties in the decision making processes. We present a robust technique to detect the process sensitive patterns using random forest-based machine learning technique. The emphasis is put on the layout features extraction techniques to improve the performance of the proposed approach. The simulation results show that the patterns susceptible to variations under different dose and focus conditions undergo a drastic change in their aerial image characteristics even when the geometry is varied by a very small margin. We observed from our analysis that the minimum number of false negatives can be achieved with reasonable increase in the number false positives. Moreover, compared to conventional hotspot classification techniques, we are able to achieve a very low percentage of false negatives with a binary classifier trained on an imbalanced dataset. Another key observation from our analysis is that the random forest method can obtain the most representative heuristics required to define categories from the lithographic datasets with continuous and categorical variables. In addition, our proposed approach can easily be integrated with commercially available electronic design automation tools and in-house design simulators to make the process flow viable in terms of a business perspective.
摘要随着特征尺寸的不断缩小,潜在的问题模式(热点)已经成为优化掩模设计以获得更好的可打印性的主要问题。设计中的光刻工艺敏感图案会导致集成电路的电气性能和制造成品率的下降。由于超大规模集成电路(VLSI)设计和制造的顺序流程,错过任何一个热点都会对产品的周转时间和成本产生不利影响。平版印刷样品通常使用连续变量(表示航拍图像和图案密度)和分类变量(表示允许的布局设计规则)的组合来定义。传统的热点分类技术由于不能有效地表示和使用上述特征度量而导致性能欠佳。一般来说,光刻数据中的热点数量要比全芯片设计中的图案总数少得多。它使输入数据不平衡,给决策过程增加了额外的困难。我们提出了一种鲁棒技术,利用基于随机森林的机器学习技术来检测过程敏感模式。重点介绍了布局特征提取技术,以提高该方法的性能。仿真结果表明,在不同剂量和焦距条件下易受变化影响的图案,即使几何形状变化很小,其航空图像特性也会发生剧烈变化。我们从分析中观察到,通过合理增加假阳性的数量,可以达到假阴性的最小数量。此外,与传统的热点分类技术相比,我们能够通过在不平衡数据集上训练的二元分类器实现非常低的假阴性百分比。我们分析的另一个关键观察结果是,随机森林方法可以从具有连续变量和分类变量的光刻数据集中获得定义类别所需的最具代表性的启发式。此外,我们提出的方法可以很容易地与商业上可用的电子设计自动化工具和内部设计模拟器集成,以使流程流在业务角度上可行。
{"title":"Random forest-based robust classification for lithographic hotspot detection","authors":"Rohit Dawar, S. Barai, Pardeep Kumar, Babji Srinivasan, N. Mohapatra","doi":"10.1117/1.JMM.18.2.023501","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.023501","url":null,"abstract":"Abstract. With continuous downscaling of feature sizes, potentially problematic patterns (hotspots) have become a major issue in generation of optimized mask design for better printability. The lithography process sensitive patterns in a design lead to degradation of both electrical performance and manufacturing yield of the integrated circuit. Due to sequential flow of very large-scale integration (VLSI) design and manufacturing, missing any hotspot has an adverse impact on product turnaround time and cost. The lithographic samples are generally defined using a combination of continuous variables (to represent aerial image and pattern density) and categorical variables (to represent allowed layout design rules). The conventional hotspot classification techniques suffer from suboptimum performance due to their inability to efficiently represent and use the above-mentioned feature metrics. In general, the number of hotspots in the lithographic data is much less compared to the total number of patterns in a full-chip design. It makes the input data imbalanced and adds additional difficulties in the decision making processes. We present a robust technique to detect the process sensitive patterns using random forest-based machine learning technique. The emphasis is put on the layout features extraction techniques to improve the performance of the proposed approach. The simulation results show that the patterns susceptible to variations under different dose and focus conditions undergo a drastic change in their aerial image characteristics even when the geometry is varied by a very small margin. We observed from our analysis that the minimum number of false negatives can be achieved with reasonable increase in the number false positives. Moreover, compared to conventional hotspot classification techniques, we are able to achieve a very low percentage of false negatives with a binary classifier trained on an imbalanced dataset. Another key observation from our analysis is that the random forest method can obtain the most representative heuristics required to define categories from the lithographic datasets with continuous and categorical variables. In addition, our proposed approach can easily be integrated with commercially available electronic design automation tools and in-house design simulators to make the process flow viable in terms of a business perspective.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"37 1","pages":"023501 - 023501"},"PeriodicalIF":2.3,"publicationDate":"2019-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89506153","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Line roughness estimation and Poisson denoising in scanning electron microscope images using deep learning 基于深度学习的扫描电镜图像线粗糙度估计和泊松去噪
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-04-29 DOI: 10.1117/1.JMM.18.2.024001
N. Chaudhary, S. Savari, S. S. Yeddulapalli
Abstract. We propose the use of deep supervised learning for the estimation of line edge roughness (LER) and line width roughness (LWR) in low-dose scanning electron microscope (SEM) images. We simulate a supervised learning dataset of 100,800 SEM rough line images constructed by means of the Thorsos method and the ARTIMAGEN library developed by the National Institute of Standards and Technology. We also devise two separate deep convolutional neural networks called SEMNet and EDGENet, each of which has 17 convolutional layers, 16 batch normalization layers, and 16 dropout layers. SEMNet performs the Poisson denoising of SEM images, and it is trained with a dataset of simulated noisy-original SEM image pairs. EDGENet directly estimates the edge geometries from noisy SEM images, and it is trained with a dataset of simulated noisy SEM image-edge array pairs. SEMNet achieved considerable improvements in peak signal-to-noise ratio as well as the best LER/LWR estimation accuracy compared with standard image denoisers. EDGENet offers excellent LER and LWR estimation as well as roughness spectrum estimation.
摘要我们提出使用深度监督学习来估计低剂量扫描电子显微镜(SEM)图像中的线边缘粗糙度(LER)和线宽度粗糙度(LWR)。我们利用Thorsos方法和美国国家标准与技术研究院开发的ARTIMAGEN库构建了一个包含100,800张SEM粗线图像的监督学习数据集。我们还设计了两个独立的深度卷积神经网络,称为SEMNet和EDGENet,每个网络都有17个卷积层,16个批处理归一化层和16个dropout层。SEMNet对SEM图像进行泊松去噪,并使用模拟的原始噪声SEM图像对数据集进行训练。EDGENet直接从有噪声的扫描电镜图像中估计边缘几何形状,并使用模拟的有噪声扫描电镜图像边缘阵列对数据集进行训练。与标准图像去噪器相比,SEMNet在峰值信噪比以及LER/LWR估计精度方面取得了相当大的改进。EDGENet提供了出色的LER和LWR估计以及粗糙度谱估计。
{"title":"Line roughness estimation and Poisson denoising in scanning electron microscope images using deep learning","authors":"N. Chaudhary, S. Savari, S. S. Yeddulapalli","doi":"10.1117/1.JMM.18.2.024001","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.024001","url":null,"abstract":"Abstract. We propose the use of deep supervised learning for the estimation of line edge roughness (LER) and line width roughness (LWR) in low-dose scanning electron microscope (SEM) images. We simulate a supervised learning dataset of 100,800 SEM rough line images constructed by means of the Thorsos method and the ARTIMAGEN library developed by the National Institute of Standards and Technology. We also devise two separate deep convolutional neural networks called SEMNet and EDGENet, each of which has 17 convolutional layers, 16 batch normalization layers, and 16 dropout layers. SEMNet performs the Poisson denoising of SEM images, and it is trained with a dataset of simulated noisy-original SEM image pairs. EDGENet directly estimates the edge geometries from noisy SEM images, and it is trained with a dataset of simulated noisy SEM image-edge array pairs. SEMNet achieved considerable improvements in peak signal-to-noise ratio as well as the best LER/LWR estimation accuracy compared with standard image denoisers. EDGENet offers excellent LER and LWR estimation as well as roughness spectrum estimation.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"344 1","pages":"024001 - 024001"},"PeriodicalIF":2.3,"publicationDate":"2019-04-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77781043","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 27
Characterizing interlayer edge placement with SEM contours 用扫描电镜等高线表征层间边缘布置
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-04-15 DOI: 10.1117/1.JMM.18.2.021203
F. Weisbuch, Jirka Schatz, M. Ruhm
Abstract. Background: The continuous scaling of integrated circuit requires not only a very good control of the device critical dimensions but also a very accurate control of the device overlay between layers to achieve satisfactory yields. These two critical factors can be combined to a single metric called interlayer edge placement error (EPEinterlayer) that quantifies the process margin necessary to keep a safe separation, extension, or overlap between the edges of a pattern in one layer with respect to another pattern in a second layer. Aim: The purpose of this work is to characterize with scanning electron microscopy (SEM), the EPEinterlayer and overlay variances of complex contact shapes relative to a poly layer to assess the contributions of the systematic and random EPEinterlayer. Approach: SEM images of a few etched patterns were recorded sequentially for both contact and poly features at the same locations on the wafer. Then, SEM contours were extracted, aligned, and overlapped to derive EPEinterlayer. One experiment was focusing on intrawafer EPEinterlayer characterization whereas another was studying more specifically intrafield overlay variations. For the latter experiment, systematic overlay errors were added to facilitate the comparison of the SEM-based method with respect to a reference image-based overlay (IBO) method. Results: The earliest direct metrology of EPEinterlayer and overlay in device enabled by this work shows a very high variability of EPEinterlayer and overlay errors across wafer and across field. Conclusions: By directly measuring the EPEinterlayer on devices that are not accessible by the standard method (optical IBO on OL structures), we showed the feasibility of this metrology and observed more dimensional variance in devices than recognized with IBO, thereby enabling better control of device pattern variation.
摘要背景:集成电路的连续缩放不仅需要很好地控制器件的关键尺寸,而且需要非常精确地控制层与层之间的器件覆盖,以达到令人满意的成品率。这两个关键因素可以组合成一个单一的度量,称为层间边缘放置误差(EPEinterlayer),它量化了保持一层中图案的边缘之间相对于第二层中的另一个图案的安全分离、延伸或重叠所需的过程余量。目的:本研究的目的是利用扫描电子显微镜(SEM)表征复杂接触形状的EPEinterlayer和覆盖层的变化,以评估系统和随机EPEinterlayer的贡献。方法:在晶圆片上的同一位置依次记录少量蚀刻图案的接触和聚特征的扫描电镜图像。然后,对扫描电镜轮廓进行提取、对齐、重叠,得到EPEinterlayer;一个实验专注于晶圆内epe层间表征,而另一个实验更具体地研究了场内覆盖变化。在后一种实验中,为了便于将基于sem的方法与基于参考图像的覆盖(IBO)方法进行比较,我们添加了系统的覆盖误差。结果:通过这项工作实现的最早的EPEinterlayer和overlay在设备中的直接计量显示,EPEinterlayer和overlay误差在晶圆和跨场之间具有非常高的可变性。结论:通过直接测量标准方法(OL结构上的光学IBO)无法获得的器件上的EPEinterlayer,我们证明了这种计量方法的可行性,并且在器件中观察到比IBO识别的更多的维度差异,从而能够更好地控制器件的模式变化。
{"title":"Characterizing interlayer edge placement with SEM contours","authors":"F. Weisbuch, Jirka Schatz, M. Ruhm","doi":"10.1117/1.JMM.18.2.021203","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.021203","url":null,"abstract":"Abstract. Background: The continuous scaling of integrated circuit requires not only a very good control of the device critical dimensions but also a very accurate control of the device overlay between layers to achieve satisfactory yields. These two critical factors can be combined to a single metric called interlayer edge placement error (EPEinterlayer) that quantifies the process margin necessary to keep a safe separation, extension, or overlap between the edges of a pattern in one layer with respect to another pattern in a second layer. Aim: The purpose of this work is to characterize with scanning electron microscopy (SEM), the EPEinterlayer and overlay variances of complex contact shapes relative to a poly layer to assess the contributions of the systematic and random EPEinterlayer. Approach: SEM images of a few etched patterns were recorded sequentially for both contact and poly features at the same locations on the wafer. Then, SEM contours were extracted, aligned, and overlapped to derive EPEinterlayer. One experiment was focusing on intrawafer EPEinterlayer characterization whereas another was studying more specifically intrafield overlay variations. For the latter experiment, systematic overlay errors were added to facilitate the comparison of the SEM-based method with respect to a reference image-based overlay (IBO) method. Results: The earliest direct metrology of EPEinterlayer and overlay in device enabled by this work shows a very high variability of EPEinterlayer and overlay errors across wafer and across field. Conclusions: By directly measuring the EPEinterlayer on devices that are not accessible by the standard method (optical IBO on OL structures), we showed the feasibility of this metrology and observed more dimensional variance in devices than recognized with IBO, thereby enabling better control of device pattern variation.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"17 1","pages":"021203 - 021203"},"PeriodicalIF":2.3,"publicationDate":"2019-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75724384","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Influence of e-beam aperture angle on critical dimensions-scanning electron microscopes measurements for high aspect ratio structure 电子束孔径角对高纵横比结构临界尺寸扫描电镜测量的影响
IF 2.3 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC Pub Date : 2019-04-06 DOI: 10.1117/1.JMM.18.2.021204
D. Bizen, M. Sakakibara, Makoto Suzuki, Uki Ikeda, S. Mizutani, Kouichi Kurosawa, H. Kawano
Abstract. The influence of the e-beam aperture angle on the critical dimensions (CD)-scanning electron microscope measurements for a high aspect ratio (AR) structure is investigated. The Monte Carlo simulator JMONSEL is used for evaluating the measurement sensitivity to the variation in the bottom CD. The aperture angle of the primary electron greatly influences the measurement precision of the bottom CD in the high AR structure. Then, we applied an energy-angular selective detection technique to the Monte Carlo simulation results and found that the measurement sensitivity for the large aperture angle was improved. In addition, the experimental results are qualitatively consistent with the results of the Monte Carlo simulation. These results indicate that the energy-angular selective detection technique is effective for improving the measurement resolution of CD at trench bottom of a high AR structure and the technique is also useful for the overlay measurement during after-etch inspection.
摘要研究了电子束孔径角对高宽高比(AR)结构临界尺寸扫描电镜测量的影响。采用蒙特卡罗模拟器JMONSEL对底部CD变化的测量灵敏度进行了评估。在高AR结构中,初级电子的孔径角对底部CD的测量精度有很大影响。然后,我们将能量角选择性检测技术应用于蒙特卡罗模拟结果,发现对大孔径角的测量灵敏度有所提高。此外,实验结果与蒙特卡罗模拟结果在定性上是一致的。结果表明,能量角选择检测技术可以有效地提高高AR结构沟底CD的测量分辨率,也可用于蚀刻后检测中的覆盖层测量。
{"title":"Influence of e-beam aperture angle on critical dimensions-scanning electron microscopes measurements for high aspect ratio structure","authors":"D. Bizen, M. Sakakibara, Makoto Suzuki, Uki Ikeda, S. Mizutani, Kouichi Kurosawa, H. Kawano","doi":"10.1117/1.JMM.18.2.021204","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.021204","url":null,"abstract":"Abstract. The influence of the e-beam aperture angle on the critical dimensions (CD)-scanning electron microscope measurements for a high aspect ratio (AR) structure is investigated. The Monte Carlo simulator JMONSEL is used for evaluating the measurement sensitivity to the variation in the bottom CD. The aperture angle of the primary electron greatly influences the measurement precision of the bottom CD in the high AR structure. Then, we applied an energy-angular selective detection technique to the Monte Carlo simulation results and found that the measurement sensitivity for the large aperture angle was improved. In addition, the experimental results are qualitatively consistent with the results of the Monte Carlo simulation. These results indicate that the energy-angular selective detection technique is effective for improving the measurement resolution of CD at trench bottom of a high AR structure and the technique is also useful for the overlay measurement during after-etch inspection.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"9 1","pages":"021204 - 021204"},"PeriodicalIF":2.3,"publicationDate":"2019-04-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82627029","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
Journal of Micro/Nanolithography, MEMS, and MOEMS
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1