Pub Date : 2019-05-30DOI: 10.1117/1.JMM.18.2.023503
Xiaojing Su, Dong Shen, Yayi Wei, Taian Fan, Lisong Dong, Libin Zhang, Yajuan Su, Rui Chen, Tianchun Ye
Abstract. Background: As semiconductor technologies continue to shrink, the growth in the number of process variables and combined effects tighten the overall process window, which leads to a more serious yield loss. Yield cannot be totally guaranteed by design rule check and verifications of optical proximity correction, due to complex process variations. The joint effects from unreasonable designs and unstable control of critical dimensions and overlay mainly contribute to the formation of bridging defects in critical interconnect layers. Aim: Our paper puts forward a model to detect the potential bridging region and predicts the corresponding failure probability under a litho-etch-litho-etch process. Approach: The proposed model is based on input error sources from variations of lithography and etch processes. In this scheme, bridging is expected when the minimum space of simulated postetch contours within a specific range is smaller than a user-defined bridging threshold. Gaussian distribution characteristics of line edge roughness (LER) and overlay are considered in the proposed model. Moreover, the proposed model provides meaningful guidelines for bridging prediction with the use of process variation bands. Results: The experiment results indicate consistency and validity of theoretical derivation of the proposed model. The concrete impacts of LER and overlay on the model have been quantitatively analyzed as well. Conclusions: According to the predicted probabilities, the model can early discover potential bridging defects quantitatively by considering the statistical properties of process variations with very few calculations and can give a ranking of failure severity as a decision foundation for design rule optimization.
{"title":"Probability prediction model for bridging defects induced by combined influences from lithography and etch variations","authors":"Xiaojing Su, Dong Shen, Yayi Wei, Taian Fan, Lisong Dong, Libin Zhang, Yajuan Su, Rui Chen, Tianchun Ye","doi":"10.1117/1.JMM.18.2.023503","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.023503","url":null,"abstract":"Abstract. Background: As semiconductor technologies continue to shrink, the growth in the number of process variables and combined effects tighten the overall process window, which leads to a more serious yield loss. Yield cannot be totally guaranteed by design rule check and verifications of optical proximity correction, due to complex process variations. The joint effects from unreasonable designs and unstable control of critical dimensions and overlay mainly contribute to the formation of bridging defects in critical interconnect layers. Aim: Our paper puts forward a model to detect the potential bridging region and predicts the corresponding failure probability under a litho-etch-litho-etch process. Approach: The proposed model is based on input error sources from variations of lithography and etch processes. In this scheme, bridging is expected when the minimum space of simulated postetch contours within a specific range is smaller than a user-defined bridging threshold. Gaussian distribution characteristics of line edge roughness (LER) and overlay are considered in the proposed model. Moreover, the proposed model provides meaningful guidelines for bridging prediction with the use of process variation bands. Results: The experiment results indicate consistency and validity of theoretical derivation of the proposed model. The concrete impacts of LER and overlay on the model have been quantitatively analyzed as well. Conclusions: According to the predicted probabilities, the model can early discover potential bridging defects quantitatively by considering the statistical properties of process variations with very few calculations and can give a ranking of failure severity as a decision foundation for design rule optimization.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"96 1","pages":"023503 - 023503"},"PeriodicalIF":2.3,"publicationDate":"2019-05-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85607315","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-05-20DOI: 10.1117/1.JMM.18.2.025501
Yu Zhou, C. Shafai, J. Dietrich
Abstract. A new electrostatic actuator for a double-layer deformable mirror (DM) with continuous phase sheet is presented. The electrostatic actuator employs an upper electrode consisting of multiple Archimedean spiral spring arms supporting a small mass central attachment point and is capable of separating the parameters of actuation voltage, spring constant, and resonance. The feature reduces the complexity in the designing of a double-layer DM with continuous phase sheet, which is widely employed in the adaptive optics area of astronomy. The fabricated device has a diameter of 1250 μm with four single-crystal silicon spiral arms each having a length of 6030 μm, thickness of 15 μm, and width of 30 μm. The actuator was simulated and tested to have a resonant frequency above 2600 Hz and was simulated to be capable of reaching ∼5-μm displacement with a drive voltage of <30 V with an actuator–electrode separation of 15 μm, based on the performance parameter found experimentally.
{"title":"Spiral spring electrostatic actuator for double-layer deformable mirror with continuous phase sheet","authors":"Yu Zhou, C. Shafai, J. Dietrich","doi":"10.1117/1.JMM.18.2.025501","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.025501","url":null,"abstract":"Abstract. A new electrostatic actuator for a double-layer deformable mirror (DM) with continuous phase sheet is presented. The electrostatic actuator employs an upper electrode consisting of multiple Archimedean spiral spring arms supporting a small mass central attachment point and is capable of separating the parameters of actuation voltage, spring constant, and resonance. The feature reduces the complexity in the designing of a double-layer DM with continuous phase sheet, which is widely employed in the adaptive optics area of astronomy. The fabricated device has a diameter of 1250 μm with four single-crystal silicon spiral arms each having a length of 6030 μm, thickness of 15 μm, and width of 30 μm. The actuator was simulated and tested to have a resonant frequency above 2600 Hz and was simulated to be capable of reaching ∼5-μm displacement with a drive voltage of <30 V with an actuator–electrode separation of 15 μm, based on the performance parameter found experimentally.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"6 1","pages":"025501 - 025501"},"PeriodicalIF":2.3,"publicationDate":"2019-05-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85990020","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-05-15DOI: 10.1117/1.JMM.18.2.023502
A. Faisal, Thomas Beckenbach, J. Mohr, P. Meyer
Abstract. Background: Deep x-ray lithography using synchrotron radiation is a prominent technique in the fabrication of high aspect ratio microstructures. The minimum lateral dimensions producible are limited by the primary dose distribution and secondary effects (Fresnel diffraction, secondary electrons scattering, etc.) during exposure. Aim: The influence of secondary radiation effects on the fabrication of high aspect ratio microstructures with submicrometer lateral dimension by deep x-ray lithography is characterized. Approach: The microstructures under investigation are one-dimensional gratings. The influence of secondary effects on structural dimension is simulated and compared to the experimental results. The quality criteria and possible defects arising in experiments highlight the importance of the mechanical stability of the photoresist. Results: From the simulation results, the minimum period of microstructures that can be produced is about 600 nm. Experimentally, microstructures with 1.2 μm minimum period (resist width of ∼700 nm) and height of ∼10 μm could be fabricated. Conclusions: Simulation results show the feasibility for fabricating gratings with a period less than 1 μm. To achieve these values also in experiment, it is necessary to increase the mechanical stability of the high aspect lamellae. The outcome of these results allows one to reduce the expensive and lengthy product development cycle.
{"title":"Influence of secondary effects in the fabrication of submicron resist structures using deep x-ray lithography","authors":"A. Faisal, Thomas Beckenbach, J. Mohr, P. Meyer","doi":"10.1117/1.JMM.18.2.023502","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.023502","url":null,"abstract":"Abstract. Background: Deep x-ray lithography using synchrotron radiation is a prominent technique in the fabrication of high aspect ratio microstructures. The minimum lateral dimensions producible are limited by the primary dose distribution and secondary effects (Fresnel diffraction, secondary electrons scattering, etc.) during exposure. Aim: The influence of secondary radiation effects on the fabrication of high aspect ratio microstructures with submicrometer lateral dimension by deep x-ray lithography is characterized. Approach: The microstructures under investigation are one-dimensional gratings. The influence of secondary effects on structural dimension is simulated and compared to the experimental results. The quality criteria and possible defects arising in experiments highlight the importance of the mechanical stability of the photoresist. Results: From the simulation results, the minimum period of microstructures that can be produced is about 600 nm. Experimentally, microstructures with 1.2 μm minimum period (resist width of ∼700 nm) and height of ∼10 μm could be fabricated. Conclusions: Simulation results show the feasibility for fabricating gratings with a period less than 1 μm. To achieve these values also in experiment, it is necessary to increase the mechanical stability of the high aspect lamellae. The outcome of these results allows one to reduce the expensive and lengthy product development cycle.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"31 1","pages":"023502 - 023502"},"PeriodicalIF":2.3,"publicationDate":"2019-05-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73482463","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-05-10DOI: 10.1117/1.JMM.18.2.024501
Na Zhou, Junjie Li, H. Radamson, Lin Li, Qifeng Jiang, Junfeng Li
Abstract. We present a simple method of deep anisotropic etching of silicon up to 400 μm with nearly vertical sidewall profile for thermopile devices. The method is based on the time-multiplexed etching which is a modified case of the Bosch deep reactive ion etching process. This process is mainly adjusted by chuck power and it is divided into three steps which are zero bias deposition step, high bias polymer removing step, and low bias silicon etching step. Compared with the standard Bosch process, this modified strategy shows advantage of etch rate and selectivity.
{"title":"Deep silicon etching for thermopile structures using a modified Bosch process","authors":"Na Zhou, Junjie Li, H. Radamson, Lin Li, Qifeng Jiang, Junfeng Li","doi":"10.1117/1.JMM.18.2.024501","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.024501","url":null,"abstract":"Abstract. We present a simple method of deep anisotropic etching of silicon up to 400 μm with nearly vertical sidewall profile for thermopile devices. The method is based on the time-multiplexed etching which is a modified case of the Bosch deep reactive ion etching process. This process is mainly adjusted by chuck power and it is divided into three steps which are zero bias deposition step, high bias polymer removing step, and low bias silicon etching step. Compared with the standard Bosch process, this modified strategy shows advantage of etch rate and selectivity.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"66 1","pages":"024501 - 024501"},"PeriodicalIF":2.3,"publicationDate":"2019-05-10","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88196157","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-05-07DOI: 10.1117/1.JMM.18.2.020501
E. Pavel, G. Prodan, V. Marinescu, R. Trusca
Development of semiconductor technologies requires innovative approaches. Optical lithography, as a key element in this process, needs to be extended into the sub-10 nm range. Manipulation of matter in this range, in order to produce complex patterns, is a challenge for lithographic techniques. A diffraction-unlimited method (quantum optical lithography) for nanofabrication is detailed with applications in prototyping functional nanostructures. The writing method was applied to two different materials: resist and fluorescent glass–ceramics. Complex patterns (rectangles, triangles, and letters) with 3-nm linewidth were obtained on Si3N4 transmission electron microscopy grids covered by the resist.
{"title":"Recent advances in 3- to 10-nm quantum optical lithography","authors":"E. Pavel, G. Prodan, V. Marinescu, R. Trusca","doi":"10.1117/1.JMM.18.2.020501","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.020501","url":null,"abstract":"Development of semiconductor technologies requires innovative approaches. Optical lithography, as a key element in this process, needs to be extended into the sub-10 nm range. Manipulation of matter in this range, in order to produce complex patterns, is a challenge for lithographic techniques. A diffraction-unlimited method (quantum optical lithography) for nanofabrication is detailed with applications in prototyping functional nanostructures. The writing method was applied to two different materials: resist and fluorescent glass–ceramics. Complex patterns (rectangles, triangles, and letters) with 3-nm linewidth were obtained on Si3N4 transmission electron microscopy grids covered by the resist.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"2014 1","pages":""},"PeriodicalIF":2.3,"publicationDate":"2019-05-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82718082","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-05-03DOI: 10.1117/1.JMM.18.2.024002
H. Fukuda, Yoshinori Momonoi, Kei Sakai
Abstract. Projection lithography using extreme ultraviolet (EUV) light at 13.5-nm wavelength will be applied to the production of integrated circuits below 7-nm design rules. In pursuit of further miniaturization, however, stochastic pattern defect problems have arisen, and monitoring such defect generation probabilities in extremely low range (<10 − 10) is indispensable. We discuss a method for predicting stochastic defect probabilities from a histogram of feature sizes for patterns several orders of magnitude fewer than the number of features to inspect. Based on our previously introduced probabilistic model of stochastic pattern defect, the defect probability is expressed as the product sum of the probability for edge position and the probability that film defect covers the area between edges, and we describe the latter as a function of edge position. The defect probabilities in the order between 10 − 7 and 10 − 5 were predicted from 105 measurement data for real EUV-exposed wafers, suggesting the effectiveness of the model and its potential for defect inspection.
{"title":"Estimating extremely low probability of stochastic defect in extreme ultraviolet lithography from critical dimension distribution measurement","authors":"H. Fukuda, Yoshinori Momonoi, Kei Sakai","doi":"10.1117/1.JMM.18.2.024002","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.024002","url":null,"abstract":"Abstract. Projection lithography using extreme ultraviolet (EUV) light at 13.5-nm wavelength will be applied to the production of integrated circuits below 7-nm design rules. In pursuit of further miniaturization, however, stochastic pattern defect problems have arisen, and monitoring such defect generation probabilities in extremely low range (<10 − 10) is indispensable. We discuss a method for predicting stochastic defect probabilities from a histogram of feature sizes for patterns several orders of magnitude fewer than the number of features to inspect. Based on our previously introduced probabilistic model of stochastic pattern defect, the defect probability is expressed as the product sum of the probability for edge position and the probability that film defect covers the area between edges, and we describe the latter as a function of edge position. The defect probabilities in the order between 10 − 7 and 10 − 5 were predicted from 105 measurement data for real EUV-exposed wafers, suggesting the effectiveness of the model and its potential for defect inspection.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"23 1","pages":"024002 - 024002"},"PeriodicalIF":2.3,"publicationDate":"2019-05-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88834042","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-05-01DOI: 10.1117/1.JMM.18.2.023501
Rohit Dawar, S. Barai, Pardeep Kumar, Babji Srinivasan, N. Mohapatra
Abstract. With continuous downscaling of feature sizes, potentially problematic patterns (hotspots) have become a major issue in generation of optimized mask design for better printability. The lithography process sensitive patterns in a design lead to degradation of both electrical performance and manufacturing yield of the integrated circuit. Due to sequential flow of very large-scale integration (VLSI) design and manufacturing, missing any hotspot has an adverse impact on product turnaround time and cost. The lithographic samples are generally defined using a combination of continuous variables (to represent aerial image and pattern density) and categorical variables (to represent allowed layout design rules). The conventional hotspot classification techniques suffer from suboptimum performance due to their inability to efficiently represent and use the above-mentioned feature metrics. In general, the number of hotspots in the lithographic data is much less compared to the total number of patterns in a full-chip design. It makes the input data imbalanced and adds additional difficulties in the decision making processes. We present a robust technique to detect the process sensitive patterns using random forest-based machine learning technique. The emphasis is put on the layout features extraction techniques to improve the performance of the proposed approach. The simulation results show that the patterns susceptible to variations under different dose and focus conditions undergo a drastic change in their aerial image characteristics even when the geometry is varied by a very small margin. We observed from our analysis that the minimum number of false negatives can be achieved with reasonable increase in the number false positives. Moreover, compared to conventional hotspot classification techniques, we are able to achieve a very low percentage of false negatives with a binary classifier trained on an imbalanced dataset. Another key observation from our analysis is that the random forest method can obtain the most representative heuristics required to define categories from the lithographic datasets with continuous and categorical variables. In addition, our proposed approach can easily be integrated with commercially available electronic design automation tools and in-house design simulators to make the process flow viable in terms of a business perspective.
{"title":"Random forest-based robust classification for lithographic hotspot detection","authors":"Rohit Dawar, S. Barai, Pardeep Kumar, Babji Srinivasan, N. Mohapatra","doi":"10.1117/1.JMM.18.2.023501","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.023501","url":null,"abstract":"Abstract. With continuous downscaling of feature sizes, potentially problematic patterns (hotspots) have become a major issue in generation of optimized mask design for better printability. The lithography process sensitive patterns in a design lead to degradation of both electrical performance and manufacturing yield of the integrated circuit. Due to sequential flow of very large-scale integration (VLSI) design and manufacturing, missing any hotspot has an adverse impact on product turnaround time and cost. The lithographic samples are generally defined using a combination of continuous variables (to represent aerial image and pattern density) and categorical variables (to represent allowed layout design rules). The conventional hotspot classification techniques suffer from suboptimum performance due to their inability to efficiently represent and use the above-mentioned feature metrics. In general, the number of hotspots in the lithographic data is much less compared to the total number of patterns in a full-chip design. It makes the input data imbalanced and adds additional difficulties in the decision making processes. We present a robust technique to detect the process sensitive patterns using random forest-based machine learning technique. The emphasis is put on the layout features extraction techniques to improve the performance of the proposed approach. The simulation results show that the patterns susceptible to variations under different dose and focus conditions undergo a drastic change in their aerial image characteristics even when the geometry is varied by a very small margin. We observed from our analysis that the minimum number of false negatives can be achieved with reasonable increase in the number false positives. Moreover, compared to conventional hotspot classification techniques, we are able to achieve a very low percentage of false negatives with a binary classifier trained on an imbalanced dataset. Another key observation from our analysis is that the random forest method can obtain the most representative heuristics required to define categories from the lithographic datasets with continuous and categorical variables. In addition, our proposed approach can easily be integrated with commercially available electronic design automation tools and in-house design simulators to make the process flow viable in terms of a business perspective.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"37 1","pages":"023501 - 023501"},"PeriodicalIF":2.3,"publicationDate":"2019-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89506153","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-04-29DOI: 10.1117/1.JMM.18.2.024001
N. Chaudhary, S. Savari, S. S. Yeddulapalli
Abstract. We propose the use of deep supervised learning for the estimation of line edge roughness (LER) and line width roughness (LWR) in low-dose scanning electron microscope (SEM) images. We simulate a supervised learning dataset of 100,800 SEM rough line images constructed by means of the Thorsos method and the ARTIMAGEN library developed by the National Institute of Standards and Technology. We also devise two separate deep convolutional neural networks called SEMNet and EDGENet, each of which has 17 convolutional layers, 16 batch normalization layers, and 16 dropout layers. SEMNet performs the Poisson denoising of SEM images, and it is trained with a dataset of simulated noisy-original SEM image pairs. EDGENet directly estimates the edge geometries from noisy SEM images, and it is trained with a dataset of simulated noisy SEM image-edge array pairs. SEMNet achieved considerable improvements in peak signal-to-noise ratio as well as the best LER/LWR estimation accuracy compared with standard image denoisers. EDGENet offers excellent LER and LWR estimation as well as roughness spectrum estimation.
{"title":"Line roughness estimation and Poisson denoising in scanning electron microscope images using deep learning","authors":"N. Chaudhary, S. Savari, S. S. Yeddulapalli","doi":"10.1117/1.JMM.18.2.024001","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.024001","url":null,"abstract":"Abstract. We propose the use of deep supervised learning for the estimation of line edge roughness (LER) and line width roughness (LWR) in low-dose scanning electron microscope (SEM) images. We simulate a supervised learning dataset of 100,800 SEM rough line images constructed by means of the Thorsos method and the ARTIMAGEN library developed by the National Institute of Standards and Technology. We also devise two separate deep convolutional neural networks called SEMNet and EDGENet, each of which has 17 convolutional layers, 16 batch normalization layers, and 16 dropout layers. SEMNet performs the Poisson denoising of SEM images, and it is trained with a dataset of simulated noisy-original SEM image pairs. EDGENet directly estimates the edge geometries from noisy SEM images, and it is trained with a dataset of simulated noisy SEM image-edge array pairs. SEMNet achieved considerable improvements in peak signal-to-noise ratio as well as the best LER/LWR estimation accuracy compared with standard image denoisers. EDGENet offers excellent LER and LWR estimation as well as roughness spectrum estimation.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"344 1","pages":"024001 - 024001"},"PeriodicalIF":2.3,"publicationDate":"2019-04-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77781043","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-04-15DOI: 10.1117/1.JMM.18.2.021203
F. Weisbuch, Jirka Schatz, M. Ruhm
Abstract. Background: The continuous scaling of integrated circuit requires not only a very good control of the device critical dimensions but also a very accurate control of the device overlay between layers to achieve satisfactory yields. These two critical factors can be combined to a single metric called interlayer edge placement error (EPEinterlayer) that quantifies the process margin necessary to keep a safe separation, extension, or overlap between the edges of a pattern in one layer with respect to another pattern in a second layer. Aim: The purpose of this work is to characterize with scanning electron microscopy (SEM), the EPEinterlayer and overlay variances of complex contact shapes relative to a poly layer to assess the contributions of the systematic and random EPEinterlayer. Approach: SEM images of a few etched patterns were recorded sequentially for both contact and poly features at the same locations on the wafer. Then, SEM contours were extracted, aligned, and overlapped to derive EPEinterlayer. One experiment was focusing on intrawafer EPEinterlayer characterization whereas another was studying more specifically intrafield overlay variations. For the latter experiment, systematic overlay errors were added to facilitate the comparison of the SEM-based method with respect to a reference image-based overlay (IBO) method. Results: The earliest direct metrology of EPEinterlayer and overlay in device enabled by this work shows a very high variability of EPEinterlayer and overlay errors across wafer and across field. Conclusions: By directly measuring the EPEinterlayer on devices that are not accessible by the standard method (optical IBO on OL structures), we showed the feasibility of this metrology and observed more dimensional variance in devices than recognized with IBO, thereby enabling better control of device pattern variation.
{"title":"Characterizing interlayer edge placement with SEM contours","authors":"F. Weisbuch, Jirka Schatz, M. Ruhm","doi":"10.1117/1.JMM.18.2.021203","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.021203","url":null,"abstract":"Abstract. Background: The continuous scaling of integrated circuit requires not only a very good control of the device critical dimensions but also a very accurate control of the device overlay between layers to achieve satisfactory yields. These two critical factors can be combined to a single metric called interlayer edge placement error (EPEinterlayer) that quantifies the process margin necessary to keep a safe separation, extension, or overlap between the edges of a pattern in one layer with respect to another pattern in a second layer. Aim: The purpose of this work is to characterize with scanning electron microscopy (SEM), the EPEinterlayer and overlay variances of complex contact shapes relative to a poly layer to assess the contributions of the systematic and random EPEinterlayer. Approach: SEM images of a few etched patterns were recorded sequentially for both contact and poly features at the same locations on the wafer. Then, SEM contours were extracted, aligned, and overlapped to derive EPEinterlayer. One experiment was focusing on intrawafer EPEinterlayer characterization whereas another was studying more specifically intrafield overlay variations. For the latter experiment, systematic overlay errors were added to facilitate the comparison of the SEM-based method with respect to a reference image-based overlay (IBO) method. Results: The earliest direct metrology of EPEinterlayer and overlay in device enabled by this work shows a very high variability of EPEinterlayer and overlay errors across wafer and across field. Conclusions: By directly measuring the EPEinterlayer on devices that are not accessible by the standard method (optical IBO on OL structures), we showed the feasibility of this metrology and observed more dimensional variance in devices than recognized with IBO, thereby enabling better control of device pattern variation.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"17 1","pages":"021203 - 021203"},"PeriodicalIF":2.3,"publicationDate":"2019-04-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75724384","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2019-04-06DOI: 10.1117/1.JMM.18.2.021204
D. Bizen, M. Sakakibara, Makoto Suzuki, Uki Ikeda, S. Mizutani, Kouichi Kurosawa, H. Kawano
Abstract. The influence of the e-beam aperture angle on the critical dimensions (CD)-scanning electron microscope measurements for a high aspect ratio (AR) structure is investigated. The Monte Carlo simulator JMONSEL is used for evaluating the measurement sensitivity to the variation in the bottom CD. The aperture angle of the primary electron greatly influences the measurement precision of the bottom CD in the high AR structure. Then, we applied an energy-angular selective detection technique to the Monte Carlo simulation results and found that the measurement sensitivity for the large aperture angle was improved. In addition, the experimental results are qualitatively consistent with the results of the Monte Carlo simulation. These results indicate that the energy-angular selective detection technique is effective for improving the measurement resolution of CD at trench bottom of a high AR structure and the technique is also useful for the overlay measurement during after-etch inspection.
{"title":"Influence of e-beam aperture angle on critical dimensions-scanning electron microscopes measurements for high aspect ratio structure","authors":"D. Bizen, M. Sakakibara, Makoto Suzuki, Uki Ikeda, S. Mizutani, Kouichi Kurosawa, H. Kawano","doi":"10.1117/1.JMM.18.2.021204","DOIUrl":"https://doi.org/10.1117/1.JMM.18.2.021204","url":null,"abstract":"Abstract. The influence of the e-beam aperture angle on the critical dimensions (CD)-scanning electron microscope measurements for a high aspect ratio (AR) structure is investigated. The Monte Carlo simulator JMONSEL is used for evaluating the measurement sensitivity to the variation in the bottom CD. The aperture angle of the primary electron greatly influences the measurement precision of the bottom CD in the high AR structure. Then, we applied an energy-angular selective detection technique to the Monte Carlo simulation results and found that the measurement sensitivity for the large aperture angle was improved. In addition, the experimental results are qualitatively consistent with the results of the Monte Carlo simulation. These results indicate that the energy-angular selective detection technique is effective for improving the measurement resolution of CD at trench bottom of a high AR structure and the technique is also useful for the overlay measurement during after-etch inspection.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":"9 1","pages":"021204 - 021204"},"PeriodicalIF":2.3,"publicationDate":"2019-04-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82627029","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}