O. Inoue, Y. Okagawa, K. Hasumi, Chuanyu Shao, P. Leray, G. Lorusso, B. Baudemprez
With the continuous shrink in pattern size and increased density, overlay control has become one of the most critical issues in semiconductor manufacturing. Recently, SEM based overlay of AEI (After Etch Inspection) wafer has been used for reference and optimization of optical overlay (both Image Based Overlay (IBO) and Diffraction Based Overlay (DBO)). Overlay measurement at AEI stage contributes monitor and forecast the yield after formation by etch and calibrate optical measurement tools. however those overlay value seems difficult directly for feedback to a scanner. Therefore, there is a clear need to have SEM based overlay measurements of ADI (After Develop Inspection) wafers in order to serve as reference for optical overlay and make necessary corrections before wafers go to etch. Furthermore, to make the corrections as accurate as possible, actual device like feature dimensions need to be measured post ADI. This device size measurement is very unique feature of CDSEM , which can be measured with smaller area. This is currently possible only with the CD-SEM. This device size measurement is very unique feature of CD-SEM , which can be measured with smaller area. In this study, we assess SEM based overlay measurement of ADI and AEI wafer by using a sample from an N10 process flow. First, we demonstrate SEM based overlay performance at AEI by using dual damascene process for Via 0 (V0) and metal 1 (M1) layer. We also discuss the overlay measurements between litho-etch-litho stages of a triple patterned M1 layer and double pattern V0. Second, to illustrate the complexities in image acquisition and measurement we will measure overlay between M1B resist and buried M1A-Hard mask trench. Finally, we will show how high accelerating voltage can detect buried pattern information by BSE (Back Scattering Electron). In this paper we discuss the merits of this method versus standard optical metrology based corrections.
{"title":"SEM based overlay measurement between resist and buried patterns","authors":"O. Inoue, Y. Okagawa, K. Hasumi, Chuanyu Shao, P. Leray, G. Lorusso, B. Baudemprez","doi":"10.1117/12.2221910","DOIUrl":"https://doi.org/10.1117/12.2221910","url":null,"abstract":"With the continuous shrink in pattern size and increased density, overlay control has become one of the most critical issues in semiconductor manufacturing. Recently, SEM based overlay of AEI (After Etch Inspection) wafer has been used for reference and optimization of optical overlay (both Image Based Overlay (IBO) and Diffraction Based Overlay (DBO)). Overlay measurement at AEI stage contributes monitor and forecast the yield after formation by etch and calibrate optical measurement tools. however those overlay value seems difficult directly for feedback to a scanner. Therefore, there is a clear need to have SEM based overlay measurements of ADI (After Develop Inspection) wafers in order to serve as reference for optical overlay and make necessary corrections before wafers go to etch. Furthermore, to make the corrections as accurate as possible, actual device like feature dimensions need to be measured post ADI. This device size measurement is very unique feature of CDSEM , which can be measured with smaller area. This is currently possible only with the CD-SEM. This device size measurement is very unique feature of CD-SEM , which can be measured with smaller area. In this study, we assess SEM based overlay measurement of ADI and AEI wafer by using a sample from an N10 process flow. First, we demonstrate SEM based overlay performance at AEI by using dual damascene process for Via 0 (V0) and metal 1 (M1) layer. We also discuss the overlay measurements between litho-etch-litho stages of a triple patterned M1 layer and double pattern V0. Second, to illustrate the complexities in image acquisition and measurement we will measure overlay between M1B resist and buried M1A-Hard mask trench. Finally, we will show how high accelerating voltage can detect buried pattern information by BSE (Back Scattering Electron). In this paper we discuss the merits of this method versus standard optical metrology based corrections.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"75 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115660777","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
J. Finders, S. Wuister, T. Last, G. Rispens, Eleni Psari, J. Lubkoll, E. van Setten, F. Wittebrood
0.33 NA EUV lithography is expected to be introduced into High Volume Manufacturing at k1 values of approximately 0.4...0.5. This is significantly larger than state of the art immersion lithography which can operate at k1 of 0.3. We investigated the impact of contrast enhancement on the imaging properties of Contact Holes and Lines and Spaces. Contrast was adjusted by changing the illumination properties pupil fill ratio and center incidence angle. We found a strong improvement of the local Critical Dimension control: line width variation for Lines and Spaces and hole to hole CD variations for arrays of contact holes. For all features we found a similar dependency on contrast. As the local Critical Dimension variations contribute significant to Edge Placement Error budgets, we foresee the implementation of contrast enhancements already at moderate k1 values around 0.4.
预计在k1值约为0.4…0.5的情况下,0.33 NA EUV光刻技术将被引入大批量生产。这比目前最先进的浸入式光刻技术要大得多,后者的k1为0.3。我们研究了对比度增强对接触孔、线和空间成像特性的影响。通过改变照明特性、瞳孔填充比和中心入射角来调整对比度。我们发现了局部临界尺寸控制的强大改进:线和空间的线宽变化以及接触孔阵列的孔间CD变化。对于所有的特性,我们都发现了类似的对对比度的依赖。由于局部关键维度的变化对边缘放置误差预算贡献很大,我们预计对比度增强的实现已经在0.4左右的适度k1值。
{"title":"Contrast optimization for 0.33NA EUV lithography","authors":"J. Finders, S. Wuister, T. Last, G. Rispens, Eleni Psari, J. Lubkoll, E. van Setten, F. Wittebrood","doi":"10.1117/12.2220036","DOIUrl":"https://doi.org/10.1117/12.2220036","url":null,"abstract":"0.33 NA EUV lithography is expected to be introduced into High Volume Manufacturing at k1 values of approximately 0.4...0.5. This is significantly larger than state of the art immersion lithography which can operate at k1 of 0.3. We investigated the impact of contrast enhancement on the imaging properties of Contact Holes and Lines and Spaces. Contrast was adjusted by changing the illumination properties pupil fill ratio and center incidence angle. We found a strong improvement of the local Critical Dimension control: line width variation for Lines and Spaces and hole to hole CD variations for arrays of contact holes. For all features we found a similar dependency on contrast. As the local Critical Dimension variations contribute significant to Edge Placement Error budgets, we foresee the implementation of contrast enhancements already at moderate k1 values around 0.4.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"59 6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-26","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133510871","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
R. Mann, E. Goodman, K. Lao, Steven Ha, A. Vacca, P. Fiekowsky, Dan Fiekowsky
Most advanced wafer fabs have embraced complex pattern decoration, which creates numerous challenges during in-fab reticle qualification. These optical proximity correction (OPC) techniques create assist features that tend to be very close in size and shape to the main patterns as seen in Figure 1. A small defect on an assist feature will most likely have little or no impact on the fidelity of the wafer image, whereas the same defect on a main feature could significantly decrease device functionality. In order to properly disposition these defects, reticle inspection technicians need an efficient method that automatically separates main from assist features and predicts the resulting defect impact on the wafer image. Analysis System (ADAS) defect simulation system[1]. Up until now, using ADAS simulation was limited to engineers due to the complexity of the settings that need to be manually entered in order to create an accurate result. A single error in entering one of these values can cause erroneous results, therefore full automation is necessary. In this study, we propose a new method where all needed simulation parameters are automatically loaded into ADAS. This is accomplished in two parts. First we have created a scanner parameter database that is automatically identified from mask product and level names. Second, we automatically determine the appropriate simulation printability threshold by using a new reference image (provided by the inspection tool) that contains a known measured value of the reticle critical dimension (CD). This new method automatically loads the correct scanner conditions, sets the appropriate simulation threshold, and automatically measures the percentage of CD change caused by the defect. This streamlines qualification and reduces the number of reticles being put on hold, waiting for engineer review. We also present data showing the consistency and reliability of the new method, along with the impact on the efficiency of in-fab reticle qualification.
{"title":"Improving reticle defect disposition via fully automated lithography simulation","authors":"R. Mann, E. Goodman, K. Lao, Steven Ha, A. Vacca, P. Fiekowsky, Dan Fiekowsky","doi":"10.1117/12.2230847","DOIUrl":"https://doi.org/10.1117/12.2230847","url":null,"abstract":"Most advanced wafer fabs have embraced complex pattern decoration, which creates numerous challenges during in-fab reticle qualification. These optical proximity correction (OPC) techniques create assist features that tend to be very close in size and shape to the main patterns as seen in Figure 1. A small defect on an assist feature will most likely have little or no impact on the fidelity of the wafer image, whereas the same defect on a main feature could significantly decrease device functionality. In order to properly disposition these defects, reticle inspection technicians need an efficient method that automatically separates main from assist features and predicts the resulting defect impact on the wafer image. Analysis System (ADAS) defect simulation system[1]. Up until now, using ADAS simulation was limited to engineers due to the complexity of the settings that need to be manually entered in order to create an accurate result. A single error in entering one of these values can cause erroneous results, therefore full automation is necessary. In this study, we propose a new method where all needed simulation parameters are automatically loaded into ADAS. This is accomplished in two parts. First we have created a scanner parameter database that is automatically identified from mask product and level names. Second, we automatically determine the appropriate simulation printability threshold by using a new reference image (provided by the inspection tool) that contains a known measured value of the reticle critical dimension (CD). This new method automatically loads the correct scanner conditions, sets the appropriate simulation threshold, and automatically measures the percentage of CD change caused by the defect. This streamlines qualification and reduces the number of reticles being put on hold, waiting for engineer review. We also present data showing the consistency and reliability of the new method, along with the impact on the efficiency of in-fab reticle qualification.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"20 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117030817","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
D. Gardner, Christina L. Porter, E. Shanblatt, G. Mancini, Robert M. Karl, M. Tanksalvala, C. Bevis, H. Kapteyn, M. Murnane, D. Adams
We use EUV coherent microscopy to obtain high-resolution images of buried interfaces, with chemical specificity, in 2+1 dimensions. We perform reflection mode, ptychographic, coherent diffractive imaging with tabletop EUV light, at 29nm, produced by high harmonic generation. Our damascene-style samples consist of copper structures inlaid in SiO2, polished nearly flat with chemical mechanical polishing. We obtain images of both an unaltered damascene as well as one buried below a 100nm thick layer of evaporated aluminum. The aluminum is opaque to visible light and thick enough that neither optical microscopy, SEM, nor AFM can access the buried interface. EUV microscopy is able to image the buried structures, non-destructively, in conditions where other techniques cannot.
{"title":"Spectroscopic imaging of buried layers in 2+1D via tabletop ptychography with high-harmonic EUV illumination","authors":"D. Gardner, Christina L. Porter, E. Shanblatt, G. Mancini, Robert M. Karl, M. Tanksalvala, C. Bevis, H. Kapteyn, M. Murnane, D. Adams","doi":"10.1117/12.2220368","DOIUrl":"https://doi.org/10.1117/12.2220368","url":null,"abstract":"We use EUV coherent microscopy to obtain high-resolution images of buried interfaces, with chemical specificity, in 2+1 dimensions. We perform reflection mode, ptychographic, coherent diffractive imaging with tabletop EUV light, at 29nm, produced by high harmonic generation. Our damascene-style samples consist of copper structures inlaid in SiO2, polished nearly flat with chemical mechanical polishing. We obtain images of both an unaltered damascene as well as one buried below a 100nm thick layer of evaporated aluminum. The aluminum is opaque to visible light and thick enough that neither optical microscopy, SEM, nor AFM can access the buried interface. EUV microscopy is able to image the buried structures, non-destructively, in conditions where other techniques cannot.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"22 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116175119","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Lokesh Subramany, W. Chung, Pavan Samudrala, Haiyong Gao, N. Aung, Juan-Manuel Gomez, B. Minghetti, Shawn Lee
To further shrink the contact and trench dimensions, Negative Tone Development (NTD) has become the de facto process at these layers. The NTD process uses a positive tone resist and an organic solvent-based negative tone developer which leads to improved image contrast, larger process window and smaller Mask Error Enhancement Factor (MEEF)[1]. The NTD masks have high transmission values leading to lens heating and as observed here wafer heating as well. Both lens and wafer heating will contribute to overlay error, however the effects of lens heating can be mitigated by applying lens heating corrections while no such corrections exist for wafer heating yet. Although the magnitude of overlay error due to wafer heating is low relative to lens heating; ever tightening overlay requirements imply that the distortions due to wafer heating will quickly become a significant part of the overlay budget. In this work the effects, analysis and observations of wafer heating on contact and metal layers of the 14nm node are presented. On product wafers it manifests as a difference in the scan up and scan down signatures between layers. An experiment to further understand wafer heating is performed with a test reticle that is used to monitor scanner performance.
{"title":"Analysis of wafer heating in 14nm DUV layers","authors":"Lokesh Subramany, W. Chung, Pavan Samudrala, Haiyong Gao, N. Aung, Juan-Manuel Gomez, B. Minghetti, Shawn Lee","doi":"10.1117/12.2218724","DOIUrl":"https://doi.org/10.1117/12.2218724","url":null,"abstract":"To further shrink the contact and trench dimensions, Negative Tone Development (NTD) has become the de facto process at these layers. The NTD process uses a positive tone resist and an organic solvent-based negative tone developer which leads to improved image contrast, larger process window and smaller Mask Error Enhancement Factor (MEEF)[1]. The NTD masks have high transmission values leading to lens heating and as observed here wafer heating as well. Both lens and wafer heating will contribute to overlay error, however the effects of lens heating can be mitigated by applying lens heating corrections while no such corrections exist for wafer heating yet. Although the magnitude of overlay error due to wafer heating is low relative to lens heating; ever tightening overlay requirements imply that the distortions due to wafer heating will quickly become a significant part of the overlay budget. In this work the effects, analysis and observations of wafer heating on contact and metal layers of the 14nm node are presented. On product wafers it manifests as a difference in the scan up and scan down signatures between layers. An experiment to further understand wafer heating is performed with a test reticle that is used to monitor scanner performance.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"102 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115262178","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
P. Leray, S. Halder, P. Di Lorenzo, Fei Wang, Pengcheng Zhang, Wei Fang, Kevin Liu, J. Jau
With the continuous shrink of design rules from 14nm to 10nm to 7nm, conserving process windows in a high volume manufacturing environment is becoming more and more difficult. Masks, scanners, and etch processes have to meet very tight specifications in order to keep defect, CD, as well as overlay within the margins of the process window. In this work, we study a design-based e-beam defect inspection technology for wafer level process window characterization and intra-field defect variability on 10nm logic devices. Due to high resolution, e-beam technology is the natural choice for review and/or detection of subtle pattern deviations, aka defects. The capability of integrating design information (GDS file) with defect detection, dimension measurement of critical structure, and defect classification provides added values for engineers to identify yield limiting systematic defects and to provide feedback to design.
{"title":"Study of design-based e-beam defect inspection for hotspot detection and process window characterization on 10nm logic device","authors":"P. Leray, S. Halder, P. Di Lorenzo, Fei Wang, Pengcheng Zhang, Wei Fang, Kevin Liu, J. Jau","doi":"10.1117/12.2218971","DOIUrl":"https://doi.org/10.1117/12.2218971","url":null,"abstract":"With the continuous shrink of design rules from 14nm to 10nm to 7nm, conserving process windows in a high volume manufacturing environment is becoming more and more difficult. Masks, scanners, and etch processes have to meet very tight specifications in order to keep defect, CD, as well as overlay within the margins of the process window. In this work, we study a design-based e-beam defect inspection technology for wafer level process window characterization and intra-field defect variability on 10nm logic devices. Due to high resolution, e-beam technology is the natural choice for review and/or detection of subtle pattern deviations, aka defects. The capability of integrating design information (GDS file) with defect detection, dimension measurement of critical structure, and defect classification provides added values for engineers to identify yield limiting systematic defects and to provide feedback to design.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"121 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132537387","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Critical dimension small-angle x-ray scattering (CD-SAXS) with a grazing-incidence geometry, which was recently developed by the authors, has been successfully applied to the cross-sectional profile measurements of different types of L/S- and hole-type patterns on photoresist surfaces. We have calculated diffraction intensities from the nanostructures based on a distorted wave Born approximation method to take the refraction and the reflection at the interfaces between layers into account, and the average cross-sectional profiles have been analyzed by a model-based least-square method. From the precise analyses, slight cross-sectional profile differences of a few nanometers scale generated by using different material and exposure conditions have been identified. The obtained cross-sectional profiles showed good agreements with the results obtained by cross-sectional scanning electron microscopy (SEM). These results demonstrate the applicability of the CD-SAXS to the nanoscale structural metrology. It is expected that the CD-SAXS is also applicable to even smaller scale structures, e.g., those of EUV, NIL, or DSA, as the x-ray wavelength is well shorter than the critical lengths of these structures.
{"title":"Characterization of cross-sectional profile of resist L/S and hole pattern using CD-SAXS","authors":"Yoshiyasu Ito, A. Higuchi, K. Omote","doi":"10.1117/12.2218983","DOIUrl":"https://doi.org/10.1117/12.2218983","url":null,"abstract":"Critical dimension small-angle x-ray scattering (CD-SAXS) with a grazing-incidence geometry, which was recently developed by the authors, has been successfully applied to the cross-sectional profile measurements of different types of L/S- and hole-type patterns on photoresist surfaces. We have calculated diffraction intensities from the nanostructures based on a distorted wave Born approximation method to take the refraction and the reflection at the interfaces between layers into account, and the average cross-sectional profiles have been analyzed by a model-based least-square method. From the precise analyses, slight cross-sectional profile differences of a few nanometers scale generated by using different material and exposure conditions have been identified. The obtained cross-sectional profiles showed good agreements with the results obtained by cross-sectional scanning electron microscopy (SEM). These results demonstrate the applicability of the CD-SAXS to the nanoscale structural metrology. It is expected that the CD-SAXS is also applicable to even smaller scale structures, e.g., those of EUV, NIL, or DSA, as the x-ray wavelength is well shorter than the critical lengths of these structures.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"57 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126538068","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Using rigorous coupled wave analysis (RCWA) and finite element method (FEM) simulations together, many interesting ellipsometric measurements can be investigated. This work specifically focuses on simulating copper grating structures that are plasmonically active. Looking at near-field images and Mueller matrix spectra, understanding of physical phenomena is possible. A general strategy for combatting convergence difficulties in RCWA simulations is proposed and applied. The example used is a copper cross-grating structure with known slow convergence.
{"title":"Modeling ellipsometric measurement of novel 3D structures with RCWA and FEM simulations","authors":"Samuel O’Mullane, Nick Keller, A. Diebold","doi":"10.1117/12.2219270","DOIUrl":"https://doi.org/10.1117/12.2219270","url":null,"abstract":"Using rigorous coupled wave analysis (RCWA) and finite element method (FEM) simulations together, many interesting ellipsometric measurements can be investigated. This work specifically focuses on simulating copper grating structures that are plasmonically active. Looking at near-field images and Mueller matrix spectra, understanding of physical phenomena is possible. A general strategy for combatting convergence difficulties in RCWA simulations is proposed and applied. The example used is a copper cross-grating structure with known slow convergence.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"55 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126082958","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
M. Lei, Kevin Wu, Qing Tian, Kewen Gao, Yaqiong Chen, Haokun Hu, Derek Tomlinson, C. Lei, Yan Zhao
For sub-2Xnm technology nodes, metallic buried voids in metal contacts have become critical yield and reliability issue for high volume semiconductor device manufacturing. Especially as the scaling continues, void-free metal filling becomes more challenging for advanced technology development, which poses great need for effective in-line detection methodology. In this paper we demonstrate comprehensive study of a special buried metallic void detection mode by backscatter electron (BSE) signals based on effective density contrast (EDC), especially for the case of partial conduction while the conventional voltage contrast (VC) mode has no detection due to minimum detectable resistance difference requirement. Successful application of EDC mode in buried metallic void detection by in-line electron beam inspection (EBI) is presented on various metal contact chemical mechanical planarization (CMP) layers, together with Monte Carlo simulations and other characterization methodology which show consistent correlation with experimental observations. Thus an extrapolation based on simulation result is illustrated to predict the detection capability of EDC mode in buried metallic void detection for the popular metal contact material systems including tungsten and copper. Despite of the detection limitation as well as potential damage by the charged particle exposure, EDC mode is demonstrated as a very effective detection methodology for buried metallic void in advanced technology development.
{"title":"Detection of metallic buried void by effective density contrast mode","authors":"M. Lei, Kevin Wu, Qing Tian, Kewen Gao, Yaqiong Chen, Haokun Hu, Derek Tomlinson, C. Lei, Yan Zhao","doi":"10.1117/12.2219186","DOIUrl":"https://doi.org/10.1117/12.2219186","url":null,"abstract":"For sub-2Xnm technology nodes, metallic buried voids in metal contacts have become critical yield and reliability issue for high volume semiconductor device manufacturing. Especially as the scaling continues, void-free metal filling becomes more challenging for advanced technology development, which poses great need for effective in-line detection methodology. In this paper we demonstrate comprehensive study of a special buried metallic void detection mode by backscatter electron (BSE) signals based on effective density contrast (EDC), especially for the case of partial conduction while the conventional voltage contrast (VC) mode has no detection due to minimum detectable resistance difference requirement. Successful application of EDC mode in buried metallic void detection by in-line electron beam inspection (EBI) is presented on various metal contact chemical mechanical planarization (CMP) layers, together with Monte Carlo simulations and other characterization methodology which show consistent correlation with experimental observations. Thus an extrapolation based on simulation result is illustrated to predict the detection capability of EDC mode in buried metallic void detection for the popular metal contact material systems including tungsten and copper. Despite of the detection limitation as well as potential damage by the charged particle exposure, EDC mode is demonstrated as a very effective detection methodology for buried metallic void in advanced technology development.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"47 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127539397","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
D. Gardner, Bosheng Zhang, M. Seaberg, E. Shanblatt, Christina L. Porter, Robert M. Karl, C. Mancuso, H. Kapteyn, M. Murnane, D. Adams
We demonstrate hyperspectral coherent imaging in the EUV spectral region for the first time, without the need for hardware-based wavelength separation. This new scheme of spectromicroscopy is the most efficient use of EUV photons for imaging because there is no energy loss from mirrors or monochromatizing optics. An EUV spectral comb from a tabletop high-harmonic source, centered at a wavelength of 30nm, illuminates the sample and the scattered light is collected on a pixel-array detector. Using a lensless imaging technique known as ptychographical information multiplexing, we simultaneously retrieve images of the spectral response of the sample at each individual harmonic. We show that the retrieved spectral amplitude and phase agrees with theoretical predictions. This work demonstrates the power of coherent EUV beams for rapid material identification with nanometer-scale resolution.
{"title":"Lensless hyperspectral spectromicroscopy with a tabletop extreme-ultraviolet source","authors":"D. Gardner, Bosheng Zhang, M. Seaberg, E. Shanblatt, Christina L. Porter, Robert M. Karl, C. Mancuso, H. Kapteyn, M. Murnane, D. Adams","doi":"10.1117/12.2220711","DOIUrl":"https://doi.org/10.1117/12.2220711","url":null,"abstract":"We demonstrate hyperspectral coherent imaging in the EUV spectral region for the first time, without the need for hardware-based wavelength separation. This new scheme of spectromicroscopy is the most efficient use of EUV photons for imaging because there is no energy loss from mirrors or monochromatizing optics. An EUV spectral comb from a tabletop high-harmonic source, centered at a wavelength of 30nm, illuminates the sample and the scattered light is collected on a pixel-array detector. Using a lensless imaging technique known as ptychographical information multiplexing, we simultaneously retrieve images of the spectral response of the sample at each individual harmonic. We show that the retrieved spectral amplitude and phase agrees with theoretical predictions. This work demonstrates the power of coherent EUV beams for rapid material identification with nanometer-scale resolution.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"24 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116029484","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}