首页 > 最新文献

SPIE Advanced Lithography最新文献

英文 中文
New approaches in diffraction based optical metrology 基于衍射的光学计量新方法
Pub Date : 2016-03-24 DOI: 10.1117/12.2219946
M. Ebert, P. Vanoppen, M. Jak, G. v. d. Zouw, H. Cramer, T. Nooitgedagt, H. v. d. Laan
Requirements for on-product overlay, focus and CD uniformity continue to tighten in order to support the demands of 10nm and 7nm nodes. This results in the need for simultaneously accurate, robust and dense metrology data as input for closed-loop control solutions thereby enabling wafer-level control and high order corrections. In addition the use of opaque materials and stringent design rules drive the need for expansion of the available measurement wavelengths and metrology target design space. Diffraction based optical metrology has been established as the leading methodology for integrated as well as standalone optical metrology for overlay, focus and CD monitoring and control in state of the art chip manufacturing. We are presenting the new approaches to diffraction based optical metrology designed to meet the ≤10nm node challenges. These approaches have been implemented in the latest addition to the YieldStar metrology platform, the YS350E introducing a new way of acquiring and processing diffraction based metrology signals. In this paper we will present the new detection principle and its impact on key performance characteristics of overlay and focus measurements. We will also describe the wide range of applications of a newly introduced increased measurement spot size, enabling significant improvements to accuracy and process robustness of overlay and focus measurements. With the YS350E the optical CD measurement capability is also extended, to 10x10μm2 targets. We will discuss the performance and value of small targets in after-develop and after-etch applications.
为了支持10nm和7nm节点的需求,对产品上覆盖、聚焦和CD均匀性的要求继续收紧。这就需要同时提供准确、可靠和密集的计量数据作为闭环控制解决方案的输入,从而实现晶圆级控制和高阶校正。此外,不透明材料的使用和严格的设计规则推动了对扩展可用测量波长和计量目标设计空间的需求。基于衍射的光学测量已经成为集成和独立光学测量的领先方法,用于覆盖,聚焦和CD监测和控制的先进芯片制造。我们提出了基于衍射的光学测量的新方法,旨在满足≤10nm节点的挑战。这些方法已经在YieldStar计量平台的最新添加中实现,YS350E引入了一种获取和处理基于衍射的计量信号的新方法。在本文中,我们将介绍新的检测原理及其对覆盖和聚焦测量的关键性能特性的影响。我们还将描述新引入的增加测量点尺寸的广泛应用,使覆盖和焦点测量的准确性和过程鲁棒性得到显着提高。YS350E还扩展了光学CD测量能力,可测量10x10μm2目标。我们将讨论小目标在后显影和后蚀刻应用中的性能和价值。
{"title":"New approaches in diffraction based optical metrology","authors":"M. Ebert, P. Vanoppen, M. Jak, G. v. d. Zouw, H. Cramer, T. Nooitgedagt, H. v. d. Laan","doi":"10.1117/12.2219946","DOIUrl":"https://doi.org/10.1117/12.2219946","url":null,"abstract":"Requirements for on-product overlay, focus and CD uniformity continue to tighten in order to support the demands of 10nm and 7nm nodes. This results in the need for simultaneously accurate, robust and dense metrology data as input for closed-loop control solutions thereby enabling wafer-level control and high order corrections. In addition the use of opaque materials and stringent design rules drive the need for expansion of the available measurement wavelengths and metrology target design space. Diffraction based optical metrology has been established as the leading methodology for integrated as well as standalone optical metrology for overlay, focus and CD monitoring and control in state of the art chip manufacturing. We are presenting the new approaches to diffraction based optical metrology designed to meet the ≤10nm node challenges. These approaches have been implemented in the latest addition to the YieldStar metrology platform, the YS350E introducing a new way of acquiring and processing diffraction based metrology signals. In this paper we will present the new detection principle and its impact on key performance characteristics of overlay and focus measurements. We will also describe the wide range of applications of a newly introduced increased measurement spot size, enabling significant improvements to accuracy and process robustness of overlay and focus measurements. With the YS350E the optical CD measurement capability is also extended, to 10x10μm2 targets. We will discuss the performance and value of small targets in after-develop and after-etch applications.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"136 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115682670","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Gaining insight into effective metrology height through the use of a compact CDSEM model for lithography simulation 通过使用紧凑的CDSEM模型进行光刻模拟,获得有效计量高度的洞察力
Pub Date : 2016-03-24 DOI: 10.1117/12.2219776
Chao Fang, Trey Graves, A. Vaglio Pret, S. Robertson, Mark D. Smith
Computer simulation of lithographic performance, including resist CD, film thickness, sidewall angle and profile has been extensively studied during the past three decades. Lithography simulation has been widely adopted as an enabling technology for high-volume chip manufacturing. However, measurement artifacts arising from CD-SEM metrology are typically ignored in simulation, due to the difficulty of accurately modeling the effect of the CD-SEM at acceptable computational speed. In this paper, we demonstrate how CD measurements can be improved by including a fast, compact CD-SEM model. For example, the variation in effective resist metrology height along contour lines extracted from a simulated CD-SEM image is characterized for a range of structures through focus. We also demonstrate how SEM settings affect the shape of extracted SEM contour and metrology height at contour edge. The Edge Placement Error (EPE) caused by SEM artifact is carefully studied.
在过去的三十年中,对光刻性能的计算机模拟,包括抗蚀剂CD、薄膜厚度、侧壁角和轮廓进行了广泛的研究。光刻模拟技术已被广泛采用为大批量芯片制造的使能技术。然而,由于难以在可接受的计算速度下准确地模拟CD-SEM的效果,因此在模拟中通常会忽略CD-SEM计量产生的测量伪影。在本文中,我们演示了如何通过包含快速,紧凑的CD- sem模型来改进CD测量。例如,从模拟CD-SEM图像中提取的等高线沿有效电阻测量高度的变化通过聚焦表征了一系列结构。我们还演示了SEM设置如何影响提取的SEM轮廓形状和轮廓边缘的测量高度。对扫描电镜伪影引起的边缘定位误差(EPE)进行了研究。
{"title":"Gaining insight into effective metrology height through the use of a compact CDSEM model for lithography simulation","authors":"Chao Fang, Trey Graves, A. Vaglio Pret, S. Robertson, Mark D. Smith","doi":"10.1117/12.2219776","DOIUrl":"https://doi.org/10.1117/12.2219776","url":null,"abstract":"Computer simulation of lithographic performance, including resist CD, film thickness, sidewall angle and profile has been extensively studied during the past three decades. Lithography simulation has been widely adopted as an enabling technology for high-volume chip manufacturing. However, measurement artifacts arising from CD-SEM metrology are typically ignored in simulation, due to the difficulty of accurately modeling the effect of the CD-SEM at acceptable computational speed. In this paper, we demonstrate how CD measurements can be improved by including a fast, compact CD-SEM model. For example, the variation in effective resist metrology height along contour lines extracted from a simulated CD-SEM image is characterized for a range of structures through focus. We also demonstrate how SEM settings affect the shape of extracted SEM contour and metrology height at contour edge. The Edge Placement Error (EPE) caused by SEM artifact is carefully studied.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"5 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116853688","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Innovative scatterometry approach for self-aligned quadruple patterning (SAQP) process control 自对准四重图案(SAQP)过程控制的创新散射测量方法
Pub Date : 2016-03-24 DOI: 10.1117/12.2220287
Anil Gunay-Demirkol, E. Altamirano Sánchez, S. Heraud, S. Godny, A. Charley, P. Leray, Ronen Urenski, Oded Cohen, I. Turovets, S. Wolfling
In this work, capabilities of scatterometry at various steps of the self-aligned quadruple patterning (SAQP) process flow for 7nm (N7) technology node are demonstrated including the pitch walk measurement on the final fin etch step. The scatterometry solutions for each step are verified using reference metrology and the capability to follow the planned process design-of-experiment (DOE) and the sensitivity to catch the small process variations are demonstrated. Pitch walk, which is pitch variation in the four line/space (L/S) populations, is one of the main process challenges for SAQP. Scatterometry, which is a versatile optical technique for critical dimensions (CD) and shape metrology, can find the direct measurement of pitch walk challenging because it is a very weak parameter. In this work, the pitch walk measurement is managed via scatterometry using an advanced technique of parallel interpretation of scatterometry pads with varying pitches. The three populations of trenches could be clearly distinguished with the scatterometry and the consistency with the reference data and with the process DOE are presented. In addition, the root cause of the within-wafer non-uniformity of fin CD is determined. The measurements were done on-site at IMEC as a part of the process development and control of the IMEC SAQP processes [1]. All in all, in this work it is demonstrated that scatterometry is capable of monitoring each process step of FEOL SAQP and it can measure three different space populations separately and extract pitch walk information at the final fin etch step.
在这项工作中,展示了7nm (N7)技术节点的自对准四重图案(SAQP)工艺流程的各个步骤的散射测量能力,包括最后鳍片蚀刻步骤的间距行走测量。使用参考计量学验证了每个步骤的散射测量解决方案,并证明了遵循计划的过程设计实验(DOE)的能力和捕捉小过程变化的灵敏度。沥青步长是四线/空间(L/S)种群的沥青变化,是SAQP的主要过程挑战之一。散射测量是一种用于关键尺寸和形状测量的通用光学技术,但由于其参数非常弱,直接测量螺距具有挑战性。在这项工作中,音高行走测量是通过散射测量来管理的,使用了一种先进的技术,即对不同音高的散射测量垫进行平行解释。通过散射测量,可以清楚地区分出三种沟群,并给出了与参考数据和过程DOE的一致性。此外,还确定了翅片CD片内不均匀性的根本原因。测量在IMEC现场完成,作为IMEC SAQP过程开发和控制的一部分[1]。综上所述,本工作证明了散射测量能够监测FEOL SAQP的每个过程步骤,并且可以分别测量三个不同的空间种群,并在最后的鳍刻蚀步骤提取节距行走信息。
{"title":"Innovative scatterometry approach for self-aligned quadruple patterning (SAQP) process control","authors":"Anil Gunay-Demirkol, E. Altamirano Sánchez, S. Heraud, S. Godny, A. Charley, P. Leray, Ronen Urenski, Oded Cohen, I. Turovets, S. Wolfling","doi":"10.1117/12.2220287","DOIUrl":"https://doi.org/10.1117/12.2220287","url":null,"abstract":"In this work, capabilities of scatterometry at various steps of the self-aligned quadruple patterning (SAQP) process flow for 7nm (N7) technology node are demonstrated including the pitch walk measurement on the final fin etch step. The scatterometry solutions for each step are verified using reference metrology and the capability to follow the planned process design-of-experiment (DOE) and the sensitivity to catch the small process variations are demonstrated. Pitch walk, which is pitch variation in the four line/space (L/S) populations, is one of the main process challenges for SAQP. Scatterometry, which is a versatile optical technique for critical dimensions (CD) and shape metrology, can find the direct measurement of pitch walk challenging because it is a very weak parameter. In this work, the pitch walk measurement is managed via scatterometry using an advanced technique of parallel interpretation of scatterometry pads with varying pitches. The three populations of trenches could be clearly distinguished with the scatterometry and the consistency with the reference data and with the process DOE are presented. In addition, the root cause of the within-wafer non-uniformity of fin CD is determined. The measurements were done on-site at IMEC as a part of the process development and control of the IMEC SAQP processes [1]. All in all, in this work it is demonstrated that scatterometry is capable of monitoring each process step of FEOL SAQP and it can measure three different space populations separately and extract pitch walk information at the final fin etch step.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"176 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132386301","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
EUV blank defect and particle inspection with high throughput immersion AFM with 1nm 3D resolution 采用1nm 3D分辨率的高通量浸没式AFM进行EUV空白缺陷和颗粒检测
Pub Date : 2016-03-24 DOI: 10.1117/12.2219127
M. V. van Es, H. Sadeghian
Inspection of EUV mask substrates and blanks is demanding. We envision this is a good target application for massively parallel Atomic Force Microscopy (AFM). We envision to do a full surface characterization of EUV masks with AFM enabling 1nm true 3D resolution over the entire surface. The limiting factor to do this is in the sensor itself: throughput is limited by the time that a cantilever needs to adjust its oscillation amplitude to the surface topography while scanning. We propose to use heavily damped cantilevers to maximize the measurement bandwidth. We show that using up to 20.000 cantilevers in parallel we can then reach a throughput of one 152×152mm2 substrate per 2 days with 1nm resolution.
EUV掩模基板和毛坯的检查要求很高。我们设想这是大规模平行原子力显微镜(AFM)的一个很好的目标应用。我们设想用AFM对EUV掩模进行全表面表征,在整个表面上实现1nm的真正3D分辨率。这样做的限制因素在于传感器本身:在扫描时,悬臂梁需要调整其振荡幅度以适应表面地形的时间限制了吞吐量。我们建议使用重阻尼悬臂梁来最大化测量带宽。我们表明,并行使用多达20,000个悬臂梁,我们可以达到每2天一个152×152mm2基板的吞吐量,分辨率为1nm。
{"title":"EUV blank defect and particle inspection with high throughput immersion AFM with 1nm 3D resolution","authors":"M. V. van Es, H. Sadeghian","doi":"10.1117/12.2219127","DOIUrl":"https://doi.org/10.1117/12.2219127","url":null,"abstract":"Inspection of EUV mask substrates and blanks is demanding. We envision this is a good target application for massively parallel Atomic Force Microscopy (AFM). We envision to do a full surface characterization of EUV masks with AFM enabling 1nm true 3D resolution over the entire surface. The limiting factor to do this is in the sensor itself: throughput is limited by the time that a cantilever needs to adjust its oscillation amplitude to the surface topography while scanning. We propose to use heavily damped cantilevers to maximize the measurement bandwidth. We show that using up to 20.000 cantilevers in parallel we can then reach a throughput of one 152×152mm2 substrate per 2 days with 1nm resolution.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"636 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116485456","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Improving scanner wafer alignment performance by target optimization 通过目标优化提高扫描仪晶圆对准性能
Pub Date : 2016-03-24 DOI: 10.1117/12.2219491
P. Leray, C. Jehoul, R. Socha, B. Menchtchikov, S. Raghunathan, Eric Kent, Hielke Schoonewelle, P. Tinnemans, Paul Tuffy, Jun R. Belen, R. Wise
In the process nodes of 10nm and below, the patterning complexity along with the processing and materials required has resulted in a need to optimize alignment targets in order to achieve the required precision, accuracy and throughput performance. Recent industry publications on the metrology target optimization process have shown a move from the expensive and time consuming empirical methodologies, towards a faster computational approach. ASML’s Design for Control (D4C) application, which is currently used to optimize YieldStar diffraction based overlay (DBO) metrology targets, has been extended to support the optimization of scanner wafer alignment targets. This allows the necessary process information and design methodology, used for DBO target designs, to be leveraged for the optimization of alignment targets. In this paper, we show how we applied this computational approach to wafer alignment target design. We verify the correlation between predictions and measurements for the key alignment performance metrics and finally show the potential alignment and overlay performance improvements that an optimized alignment target could achieve.
在10nm及以下的工艺节点中,图案的复杂性以及所需的加工和材料导致需要优化对准目标,以实现所需的精度、准确性和吞吐量性能。最近关于计量目标优化过程的行业出版物表明,从昂贵和耗时的经验方法转向更快的计算方法。ASML的控制设计(D4C)应用程序目前用于优化基于YieldStar衍射的覆盖(DBO)计量目标,现已扩展到支持扫描仪晶圆对准目标的优化。这允许必要的过程信息和设计方法,用于DBO目标设计,用于校准目标的优化。在本文中,我们展示了如何将这种计算方法应用于晶圆对准目标设计。我们验证了关键对准性能指标的预测和测量之间的相关性,并最终展示了优化对准目标可以实现的潜在对准和覆盖性能改进。
{"title":"Improving scanner wafer alignment performance by target optimization","authors":"P. Leray, C. Jehoul, R. Socha, B. Menchtchikov, S. Raghunathan, Eric Kent, Hielke Schoonewelle, P. Tinnemans, Paul Tuffy, Jun R. Belen, R. Wise","doi":"10.1117/12.2219491","DOIUrl":"https://doi.org/10.1117/12.2219491","url":null,"abstract":"In the process nodes of 10nm and below, the patterning complexity along with the processing and materials required has resulted in a need to optimize alignment targets in order to achieve the required precision, accuracy and throughput performance. Recent industry publications on the metrology target optimization process have shown a move from the expensive and time consuming empirical methodologies, towards a faster computational approach. ASML’s Design for Control (D4C) application, which is currently used to optimize YieldStar diffraction based overlay (DBO) metrology targets, has been extended to support the optimization of scanner wafer alignment targets. This allows the necessary process information and design methodology, used for DBO target designs, to be leveraged for the optimization of alignment targets. In this paper, we show how we applied this computational approach to wafer alignment target design. We verify the correlation between predictions and measurements for the key alignment performance metrics and finally show the potential alignment and overlay performance improvements that an optimized alignment target could achieve.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"44 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128258775","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Resist 3D model based OPC for 28nm metal process window enlargement 基于抗蚀3D模型的OPC的28nm金属工艺窗口放大
Pub Date : 2016-03-24 DOI: 10.1117/12.2218916
P. Fanton, J. L. Le denmat, C. Gardiola, A. Pelletier, F. Foussadier, C. Gardin, J. Planchot, A. Szucs, O. Ndiaye, N. Martin, L. Depre, F. Robert
28nm metal 90nm pitch is one of the most challenging processes for computational lithography due to the resolution limit of DUV scanners and the variety of designs allowed by design rules. Classical two dimensional hotspot simulations and OPC correction isn’t sufficient to obtain required process windows for mass production. This paper shows how three dimensional resist effects like top loss and line end shortening have been calibrated and used during the OPC process in order to achieve larger process window. Yield results on 28FDSOI product have been used to benchmark and validate gain between classical OPC and R3D OPC.
由于DUV扫描仪的分辨率限制和设计规则允许的各种设计,28nm金属90nm间距是计算光刻中最具挑战性的工艺之一。传统的二维热点模拟和OPC校正不足以获得批量生产所需的工艺窗口。本文介绍了如何在OPC过程中校准和使用顶损和线端缩短等三维抗蚀效应,以实现更大的过程窗口。28FDSOI产品的产率结果用于基准测试和验证经典OPC和R3D OPC之间的增益。
{"title":"Resist 3D model based OPC for 28nm metal process window enlargement","authors":"P. Fanton, J. L. Le denmat, C. Gardiola, A. Pelletier, F. Foussadier, C. Gardin, J. Planchot, A. Szucs, O. Ndiaye, N. Martin, L. Depre, F. Robert","doi":"10.1117/12.2218916","DOIUrl":"https://doi.org/10.1117/12.2218916","url":null,"abstract":"28nm metal 90nm pitch is one of the most challenging processes for computational lithography due to the resolution limit of DUV scanners and the variety of designs allowed by design rules. Classical two dimensional hotspot simulations and OPC correction isn’t sufficient to obtain required process windows for mass production. This paper shows how three dimensional resist effects like top loss and line end shortening have been calibrated and used during the OPC process in order to achieve larger process window. Yield results on 28FDSOI product have been used to benchmark and validate gain between classical OPC and R3D OPC.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"37 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125674705","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Overlay metrology performance prediction fidelity: the factors enabling a successful target design cycle 叠加计量性能预测保真度:使目标设计周期成功的因素
Pub Date : 2016-03-24 DOI: 10.1117/12.2219181
Inna Tarshish-Shapir, E. Hajaj, Greg Gray, J. Hodges, Jianming Zhou, Sarah Wu, Sam Moore, G. Ben-Dov, C. Dror, Z. Lindenfeld, D. Gready, M. Ghinovker, M. Adel
Overlay metrology performances highly depend on the detailed design of the measured target. Hence performing simulations is an essential tool for optimizing target design. We demonstrate for scatterometry overlay (SCOL) three key factors which enable consistency in ranking between simulated and measured metrology performance for target design. The first factor, to enable high fidelity simulations for the purpose of target design, is stack and topography verification of model inputs. We report in detail the best known film metrology methods required to achieve model integrity. The second factor is the method of calculation of metrology performance metrics based on target cell reflectivities from electro-magnetic (EM) simulations. These metrics enable ranking of different designs, and subsequent choice of the best performing designs among all simulated design options, the ranking methodology being the third factor. We apply the above steps to a specific stack, where five different designs have been considered. Simulated versus measured values are compared. A good agreement between simulation and measurement is achieved.
叠加测量的性能在很大程度上取决于被测目标的详细设计。因此,进行仿真是优化目标设计的必要工具。我们展示了散射测量叠加(SCOL)的三个关键因素,这些因素使目标设计的模拟和测量测量性能之间的排名保持一致。第一个因素是模型输入的叠加和地形验证,以实现目标设计的高保真仿真。我们详细报告了实现模型完整性所需的最著名的薄膜计量方法。第二个因素是基于电磁仿真的目标单元反射率的计量性能指标的计算方法。这些指标可以对不同的设计进行排名,然后在所有模拟设计选项中选择表现最好的设计,排名方法是第三个因素。我们将上述步骤应用于一个特定的堆栈,其中考虑了五种不同的设计。模拟值与实测值进行了比较。仿真结果与实测结果吻合较好。
{"title":"Overlay metrology performance prediction fidelity: the factors enabling a successful target design cycle","authors":"Inna Tarshish-Shapir, E. Hajaj, Greg Gray, J. Hodges, Jianming Zhou, Sarah Wu, Sam Moore, G. Ben-Dov, C. Dror, Z. Lindenfeld, D. Gready, M. Ghinovker, M. Adel","doi":"10.1117/12.2219181","DOIUrl":"https://doi.org/10.1117/12.2219181","url":null,"abstract":"Overlay metrology performances highly depend on the detailed design of the measured target. Hence performing simulations is an essential tool for optimizing target design. We demonstrate for scatterometry overlay (SCOL) three key factors which enable consistency in ranking between simulated and measured metrology performance for target design. The first factor, to enable high fidelity simulations for the purpose of target design, is stack and topography verification of model inputs. We report in detail the best known film metrology methods required to achieve model integrity. The second factor is the method of calculation of metrology performance metrics based on target cell reflectivities from electro-magnetic (EM) simulations. These metrics enable ranking of different designs, and subsequent choice of the best performing designs among all simulated design options, the ranking methodology being the third factor. We apply the above steps to a specific stack, where five different designs have been considered. Simulated versus measured values are compared. A good agreement between simulation and measurement is achieved.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"9778 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130437437","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Identification of multilayer structures using secondary electron yield curves: effect of native oxide films on EUV-patterned mask inspection 利用二次电子产率曲线识别多层结构:原生氧化膜对euv图案掩膜检测的影响
Pub Date : 2016-03-24 DOI: 10.1117/12.2218944
S. Iida, K. Ohya, R. Hirano, Hidehiro Watanabe
The impact of EUV mask surface conditions on the patterned mask inspection process was investigated. The results of simulations show that the defect detection capability is degraded by the formation of a native oxide film on the surface of a Ru capped multilayer. This effect was assessed by constructing the secondary electron yield (SEY) curves of the EUV mask materials. These experimentally-obtained SEY curves were examined using semi-empirical Monte Carlo simulations. The simulation results demonstrated that a native oxide film increased the SEY, and that this effect varied with film thickness. The results suggest that defect detection capability will vary according to the thickness of the native oxide when employing an inspection system using an electron beam technique. Also of interest is the finding that the thickness of the native oxide film can be ascertained by fitting the SEY curves.
研究了EUV掩模表面条件对图案掩模检测过程的影响。模拟结果表明,在覆钌多层材料表面形成天然氧化膜会降低缺陷检测能力。通过构建EUV掩膜材料的二次电子产率(SEY)曲线来评价这种效应。这些实验获得的SEY曲线使用半经验蒙特卡罗模拟进行了检验。模拟结果表明,天然氧化膜增加了SEY,并且这种效果随膜的厚度而变化。结果表明,当采用电子束技术的检测系统时,缺陷检测能力将根据天然氧化物的厚度而变化。同样令人感兴趣的是,可以通过拟合SEY曲线来确定天然氧化膜的厚度。
{"title":"Identification of multilayer structures using secondary electron yield curves: effect of native oxide films on EUV-patterned mask inspection","authors":"S. Iida, K. Ohya, R. Hirano, Hidehiro Watanabe","doi":"10.1117/12.2218944","DOIUrl":"https://doi.org/10.1117/12.2218944","url":null,"abstract":"The impact of EUV mask surface conditions on the patterned mask inspection process was investigated. The results of simulations show that the defect detection capability is degraded by the formation of a native oxide film on the surface of a Ru capped multilayer. This effect was assessed by constructing the secondary electron yield (SEY) curves of the EUV mask materials. These experimentally-obtained SEY curves were examined using semi-empirical Monte Carlo simulations. The simulation results demonstrated that a native oxide film increased the SEY, and that this effect varied with film thickness. The results suggest that defect detection capability will vary according to the thickness of the native oxide when employing an inspection system using an electron beam technique. Also of interest is the finding that the thickness of the native oxide film can be ascertained by fitting the SEY curves.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"39 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134564796","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Focus control enhancement and on-product focus response analysis methodology 焦点控制增强和产品焦点响应分析方法
Pub Date : 2016-03-24 DOI: 10.1117/12.2213019
Young Ki Kim, Yen-Jen Chen, Xueli Hao, Pavan Samudrala, Juan-Manuel Gomez, Mark O. Mahoney, F. Kamalizadeh, J. K. Hanson, Shawn Lee, Ye Tian
With decreasing CDOF (Critical Depth Of Focus) for 20/14nm technology and beyond, focus errors are becoming increasingly critical for on-product performance. Current on product focus control techniques in high volume manufacturing are limited; It is difficult to define measurable focus error and optimize focus response on product with existing methods due to lack of credible focus measurement methodologies. Next to developments in imaging and focus control capability of scanners and general tool stability maintenance, on-product focus control improvements are also required to meet on-product imaging specifications. In this paper, we discuss focus monitoring, wafer (edge) fingerprint correction and on-product focus budget analysis through diffraction based focus (DBF) measurement methodology. Several examples will be presented showing better focus response and control on product wafers. Also, a method will be discussed for a focus interlock automation system on product for a high volume manufacturing (HVM) environment.
随着20/14nm及以上技术的临界聚焦深度(CDOF)的降低,对焦误差对产品性能的影响越来越大。目前在大批量生产中的产品焦点控制技术是有限的;由于缺乏可靠的焦点测量方法,现有方法难以确定可测量的焦点误差和优化产品的焦点响应。除了扫描仪成像和焦点控制能力的发展以及一般工具稳定性维护之外,还需要改进产品上的焦点控制,以满足产品上的成像规范。本文通过基于衍射的聚焦(DBF)测量方法,讨论了聚焦监测、晶圆(边缘)指纹校正和产品上聚焦预算分析。几个例子将展示更好的焦点响应和控制产品晶圆。此外,还将讨论一种用于大批量生产(HVM)环境的产品聚焦联锁自动化系统的方法。
{"title":"Focus control enhancement and on-product focus response analysis methodology","authors":"Young Ki Kim, Yen-Jen Chen, Xueli Hao, Pavan Samudrala, Juan-Manuel Gomez, Mark O. Mahoney, F. Kamalizadeh, J. K. Hanson, Shawn Lee, Ye Tian","doi":"10.1117/12.2213019","DOIUrl":"https://doi.org/10.1117/12.2213019","url":null,"abstract":"With decreasing CDOF (Critical Depth Of Focus) for 20/14nm technology and beyond, focus errors are becoming increasingly critical for on-product performance. Current on product focus control techniques in high volume manufacturing are limited; It is difficult to define measurable focus error and optimize focus response on product with existing methods due to lack of credible focus measurement methodologies. Next to developments in imaging and focus control capability of scanners and general tool stability maintenance, on-product focus control improvements are also required to meet on-product imaging specifications. In this paper, we discuss focus monitoring, wafer (edge) fingerprint correction and on-product focus budget analysis through diffraction based focus (DBF) measurement methodology. Several examples will be presented showing better focus response and control on product wafers. Also, a method will be discussed for a focus interlock automation system on product for a high volume manufacturing (HVM) environment.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128836253","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A study of swing-curve physics in diffraction-based overlay 基于衍射叠加的摆动曲线物理研究
Pub Date : 2016-03-24 DOI: 10.1117/12.2222040
K. Bhattacharyya, A. D. den Boef, G. Storms, Joost van Heijst, M. Noot, Kevin An, Noh-Kyoung Park, Se-Ra Jeon, Nang-Lyeom Oh, Elliott McNamara, Frank van de Mast, SeungHwa Oh, S. Y. Lee, C. Hwang, Kuntack Lee
With the increase of process complexity in advanced nodes, the requirements of process robustness in overlay metrology continues to tighten. Especially with the introduction of newer materials in the film-stack along with typical stack variations (thickness, optical properties, profile asymmetry etc.), the signal formation physics in diffraction-based overlay (DBO) becomes an important aspect to apply in overlay metrology target and recipe selection. In order to address the signal formation physics, an effort is made towards studying the swing-curve phenomena through wavelength and polarizations on production stacks using simulations as well as experimental technique using DBO. The results provide a wealth of information on target and recipe selection for robustness. Details from simulation and measurements will be reported in this technical publication.
随着高级节点过程复杂度的增加,叠加计量对过程鲁棒性的要求不断提高。特别是随着薄膜叠层中新材料的引入以及典型的叠层变化(厚度、光学性质、轮廓不对称等),衍射叠层(DBO)中的信号形成物理成为叠层计量目标和配方选择的一个重要方面。为了解决信号形成的物理问题,利用模拟和DBO实验技术,研究了生产堆栈上波长和极化的摆动曲线现象。结果为鲁棒性的目标和配方选择提供了丰富的信息。模拟和测量的细节将在本技术出版物中报告。
{"title":"A study of swing-curve physics in diffraction-based overlay","authors":"K. Bhattacharyya, A. D. den Boef, G. Storms, Joost van Heijst, M. Noot, Kevin An, Noh-Kyoung Park, Se-Ra Jeon, Nang-Lyeom Oh, Elliott McNamara, Frank van de Mast, SeungHwa Oh, S. Y. Lee, C. Hwang, Kuntack Lee","doi":"10.1117/12.2222040","DOIUrl":"https://doi.org/10.1117/12.2222040","url":null,"abstract":"With the increase of process complexity in advanced nodes, the requirements of process robustness in overlay metrology continues to tighten. Especially with the introduction of newer materials in the film-stack along with typical stack variations (thickness, optical properties, profile asymmetry etc.), the signal formation physics in diffraction-based overlay (DBO) becomes an important aspect to apply in overlay metrology target and recipe selection. In order to address the signal formation physics, an effort is made towards studying the swing-curve phenomena through wavelength and polarizations on production stacks using simulations as well as experimental technique using DBO. The results provide a wealth of information on target and recipe selection for robustness. Details from simulation and measurements will be reported in this technical publication.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"50 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127242264","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
期刊
SPIE Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1