M. Ebert, P. Vanoppen, M. Jak, G. v. d. Zouw, H. Cramer, T. Nooitgedagt, H. v. d. Laan
Requirements for on-product overlay, focus and CD uniformity continue to tighten in order to support the demands of 10nm and 7nm nodes. This results in the need for simultaneously accurate, robust and dense metrology data as input for closed-loop control solutions thereby enabling wafer-level control and high order corrections. In addition the use of opaque materials and stringent design rules drive the need for expansion of the available measurement wavelengths and metrology target design space. Diffraction based optical metrology has been established as the leading methodology for integrated as well as standalone optical metrology for overlay, focus and CD monitoring and control in state of the art chip manufacturing. We are presenting the new approaches to diffraction based optical metrology designed to meet the ≤10nm node challenges. These approaches have been implemented in the latest addition to the YieldStar metrology platform, the YS350E introducing a new way of acquiring and processing diffraction based metrology signals. In this paper we will present the new detection principle and its impact on key performance characteristics of overlay and focus measurements. We will also describe the wide range of applications of a newly introduced increased measurement spot size, enabling significant improvements to accuracy and process robustness of overlay and focus measurements. With the YS350E the optical CD measurement capability is also extended, to 10x10μm2 targets. We will discuss the performance and value of small targets in after-develop and after-etch applications.
{"title":"New approaches in diffraction based optical metrology","authors":"M. Ebert, P. Vanoppen, M. Jak, G. v. d. Zouw, H. Cramer, T. Nooitgedagt, H. v. d. Laan","doi":"10.1117/12.2219946","DOIUrl":"https://doi.org/10.1117/12.2219946","url":null,"abstract":"Requirements for on-product overlay, focus and CD uniformity continue to tighten in order to support the demands of 10nm and 7nm nodes. This results in the need for simultaneously accurate, robust and dense metrology data as input for closed-loop control solutions thereby enabling wafer-level control and high order corrections. In addition the use of opaque materials and stringent design rules drive the need for expansion of the available measurement wavelengths and metrology target design space. Diffraction based optical metrology has been established as the leading methodology for integrated as well as standalone optical metrology for overlay, focus and CD monitoring and control in state of the art chip manufacturing. We are presenting the new approaches to diffraction based optical metrology designed to meet the ≤10nm node challenges. These approaches have been implemented in the latest addition to the YieldStar metrology platform, the YS350E introducing a new way of acquiring and processing diffraction based metrology signals. In this paper we will present the new detection principle and its impact on key performance characteristics of overlay and focus measurements. We will also describe the wide range of applications of a newly introduced increased measurement spot size, enabling significant improvements to accuracy and process robustness of overlay and focus measurements. With the YS350E the optical CD measurement capability is also extended, to 10x10μm2 targets. We will discuss the performance and value of small targets in after-develop and after-etch applications.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"136 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115682670","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Chao Fang, Trey Graves, A. Vaglio Pret, S. Robertson, Mark D. Smith
Computer simulation of lithographic performance, including resist CD, film thickness, sidewall angle and profile has been extensively studied during the past three decades. Lithography simulation has been widely adopted as an enabling technology for high-volume chip manufacturing. However, measurement artifacts arising from CD-SEM metrology are typically ignored in simulation, due to the difficulty of accurately modeling the effect of the CD-SEM at acceptable computational speed. In this paper, we demonstrate how CD measurements can be improved by including a fast, compact CD-SEM model. For example, the variation in effective resist metrology height along contour lines extracted from a simulated CD-SEM image is characterized for a range of structures through focus. We also demonstrate how SEM settings affect the shape of extracted SEM contour and metrology height at contour edge. The Edge Placement Error (EPE) caused by SEM artifact is carefully studied.
{"title":"Gaining insight into effective metrology height through the use of a compact CDSEM model for lithography simulation","authors":"Chao Fang, Trey Graves, A. Vaglio Pret, S. Robertson, Mark D. Smith","doi":"10.1117/12.2219776","DOIUrl":"https://doi.org/10.1117/12.2219776","url":null,"abstract":"Computer simulation of lithographic performance, including resist CD, film thickness, sidewall angle and profile has been extensively studied during the past three decades. Lithography simulation has been widely adopted as an enabling technology for high-volume chip manufacturing. However, measurement artifacts arising from CD-SEM metrology are typically ignored in simulation, due to the difficulty of accurately modeling the effect of the CD-SEM at acceptable computational speed. In this paper, we demonstrate how CD measurements can be improved by including a fast, compact CD-SEM model. For example, the variation in effective resist metrology height along contour lines extracted from a simulated CD-SEM image is characterized for a range of structures through focus. We also demonstrate how SEM settings affect the shape of extracted SEM contour and metrology height at contour edge. The Edge Placement Error (EPE) caused by SEM artifact is carefully studied.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"5 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116853688","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Anil Gunay-Demirkol, E. Altamirano Sánchez, S. Heraud, S. Godny, A. Charley, P. Leray, Ronen Urenski, Oded Cohen, I. Turovets, S. Wolfling
In this work, capabilities of scatterometry at various steps of the self-aligned quadruple patterning (SAQP) process flow for 7nm (N7) technology node are demonstrated including the pitch walk measurement on the final fin etch step. The scatterometry solutions for each step are verified using reference metrology and the capability to follow the planned process design-of-experiment (DOE) and the sensitivity to catch the small process variations are demonstrated. Pitch walk, which is pitch variation in the four line/space (L/S) populations, is one of the main process challenges for SAQP. Scatterometry, which is a versatile optical technique for critical dimensions (CD) and shape metrology, can find the direct measurement of pitch walk challenging because it is a very weak parameter. In this work, the pitch walk measurement is managed via scatterometry using an advanced technique of parallel interpretation of scatterometry pads with varying pitches. The three populations of trenches could be clearly distinguished with the scatterometry and the consistency with the reference data and with the process DOE are presented. In addition, the root cause of the within-wafer non-uniformity of fin CD is determined. The measurements were done on-site at IMEC as a part of the process development and control of the IMEC SAQP processes [1]. All in all, in this work it is demonstrated that scatterometry is capable of monitoring each process step of FEOL SAQP and it can measure three different space populations separately and extract pitch walk information at the final fin etch step.
{"title":"Innovative scatterometry approach for self-aligned quadruple patterning (SAQP) process control","authors":"Anil Gunay-Demirkol, E. Altamirano Sánchez, S. Heraud, S. Godny, A. Charley, P. Leray, Ronen Urenski, Oded Cohen, I. Turovets, S. Wolfling","doi":"10.1117/12.2220287","DOIUrl":"https://doi.org/10.1117/12.2220287","url":null,"abstract":"In this work, capabilities of scatterometry at various steps of the self-aligned quadruple patterning (SAQP) process flow for 7nm (N7) technology node are demonstrated including the pitch walk measurement on the final fin etch step. The scatterometry solutions for each step are verified using reference metrology and the capability to follow the planned process design-of-experiment (DOE) and the sensitivity to catch the small process variations are demonstrated. Pitch walk, which is pitch variation in the four line/space (L/S) populations, is one of the main process challenges for SAQP. Scatterometry, which is a versatile optical technique for critical dimensions (CD) and shape metrology, can find the direct measurement of pitch walk challenging because it is a very weak parameter. In this work, the pitch walk measurement is managed via scatterometry using an advanced technique of parallel interpretation of scatterometry pads with varying pitches. The three populations of trenches could be clearly distinguished with the scatterometry and the consistency with the reference data and with the process DOE are presented. In addition, the root cause of the within-wafer non-uniformity of fin CD is determined. The measurements were done on-site at IMEC as a part of the process development and control of the IMEC SAQP processes [1]. All in all, in this work it is demonstrated that scatterometry is capable of monitoring each process step of FEOL SAQP and it can measure three different space populations separately and extract pitch walk information at the final fin etch step.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"176 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132386301","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Inspection of EUV mask substrates and blanks is demanding. We envision this is a good target application for massively parallel Atomic Force Microscopy (AFM). We envision to do a full surface characterization of EUV masks with AFM enabling 1nm true 3D resolution over the entire surface. The limiting factor to do this is in the sensor itself: throughput is limited by the time that a cantilever needs to adjust its oscillation amplitude to the surface topography while scanning. We propose to use heavily damped cantilevers to maximize the measurement bandwidth. We show that using up to 20.000 cantilevers in parallel we can then reach a throughput of one 152×152mm2 substrate per 2 days with 1nm resolution.
{"title":"EUV blank defect and particle inspection with high throughput immersion AFM with 1nm 3D resolution","authors":"M. V. van Es, H. Sadeghian","doi":"10.1117/12.2219127","DOIUrl":"https://doi.org/10.1117/12.2219127","url":null,"abstract":"Inspection of EUV mask substrates and blanks is demanding. We envision this is a good target application for massively parallel Atomic Force Microscopy (AFM). We envision to do a full surface characterization of EUV masks with AFM enabling 1nm true 3D resolution over the entire surface. The limiting factor to do this is in the sensor itself: throughput is limited by the time that a cantilever needs to adjust its oscillation amplitude to the surface topography while scanning. We propose to use heavily damped cantilevers to maximize the measurement bandwidth. We show that using up to 20.000 cantilevers in parallel we can then reach a throughput of one 152×152mm2 substrate per 2 days with 1nm resolution.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"636 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116485456","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
P. Leray, C. Jehoul, R. Socha, B. Menchtchikov, S. Raghunathan, Eric Kent, Hielke Schoonewelle, P. Tinnemans, Paul Tuffy, Jun R. Belen, R. Wise
In the process nodes of 10nm and below, the patterning complexity along with the processing and materials required has resulted in a need to optimize alignment targets in order to achieve the required precision, accuracy and throughput performance. Recent industry publications on the metrology target optimization process have shown a move from the expensive and time consuming empirical methodologies, towards a faster computational approach. ASML’s Design for Control (D4C) application, which is currently used to optimize YieldStar diffraction based overlay (DBO) metrology targets, has been extended to support the optimization of scanner wafer alignment targets. This allows the necessary process information and design methodology, used for DBO target designs, to be leveraged for the optimization of alignment targets. In this paper, we show how we applied this computational approach to wafer alignment target design. We verify the correlation between predictions and measurements for the key alignment performance metrics and finally show the potential alignment and overlay performance improvements that an optimized alignment target could achieve.
{"title":"Improving scanner wafer alignment performance by target optimization","authors":"P. Leray, C. Jehoul, R. Socha, B. Menchtchikov, S. Raghunathan, Eric Kent, Hielke Schoonewelle, P. Tinnemans, Paul Tuffy, Jun R. Belen, R. Wise","doi":"10.1117/12.2219491","DOIUrl":"https://doi.org/10.1117/12.2219491","url":null,"abstract":"In the process nodes of 10nm and below, the patterning complexity along with the processing and materials required has resulted in a need to optimize alignment targets in order to achieve the required precision, accuracy and throughput performance. Recent industry publications on the metrology target optimization process have shown a move from the expensive and time consuming empirical methodologies, towards a faster computational approach. ASML’s Design for Control (D4C) application, which is currently used to optimize YieldStar diffraction based overlay (DBO) metrology targets, has been extended to support the optimization of scanner wafer alignment targets. This allows the necessary process information and design methodology, used for DBO target designs, to be leveraged for the optimization of alignment targets. In this paper, we show how we applied this computational approach to wafer alignment target design. We verify the correlation between predictions and measurements for the key alignment performance metrics and finally show the potential alignment and overlay performance improvements that an optimized alignment target could achieve.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"44 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128258775","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
P. Fanton, J. L. Le denmat, C. Gardiola, A. Pelletier, F. Foussadier, C. Gardin, J. Planchot, A. Szucs, O. Ndiaye, N. Martin, L. Depre, F. Robert
28nm metal 90nm pitch is one of the most challenging processes for computational lithography due to the resolution limit of DUV scanners and the variety of designs allowed by design rules. Classical two dimensional hotspot simulations and OPC correction isn’t sufficient to obtain required process windows for mass production. This paper shows how three dimensional resist effects like top loss and line end shortening have been calibrated and used during the OPC process in order to achieve larger process window. Yield results on 28FDSOI product have been used to benchmark and validate gain between classical OPC and R3D OPC.
{"title":"Resist 3D model based OPC for 28nm metal process window enlargement","authors":"P. Fanton, J. L. Le denmat, C. Gardiola, A. Pelletier, F. Foussadier, C. Gardin, J. Planchot, A. Szucs, O. Ndiaye, N. Martin, L. Depre, F. Robert","doi":"10.1117/12.2218916","DOIUrl":"https://doi.org/10.1117/12.2218916","url":null,"abstract":"28nm metal 90nm pitch is one of the most challenging processes for computational lithography due to the resolution limit of DUV scanners and the variety of designs allowed by design rules. Classical two dimensional hotspot simulations and OPC correction isn’t sufficient to obtain required process windows for mass production. This paper shows how three dimensional resist effects like top loss and line end shortening have been calibrated and used during the OPC process in order to achieve larger process window. Yield results on 28FDSOI product have been used to benchmark and validate gain between classical OPC and R3D OPC.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"37 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125674705","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Inna Tarshish-Shapir, E. Hajaj, Greg Gray, J. Hodges, Jianming Zhou, Sarah Wu, Sam Moore, G. Ben-Dov, C. Dror, Z. Lindenfeld, D. Gready, M. Ghinovker, M. Adel
Overlay metrology performances highly depend on the detailed design of the measured target. Hence performing simulations is an essential tool for optimizing target design. We demonstrate for scatterometry overlay (SCOL) three key factors which enable consistency in ranking between simulated and measured metrology performance for target design. The first factor, to enable high fidelity simulations for the purpose of target design, is stack and topography verification of model inputs. We report in detail the best known film metrology methods required to achieve model integrity. The second factor is the method of calculation of metrology performance metrics based on target cell reflectivities from electro-magnetic (EM) simulations. These metrics enable ranking of different designs, and subsequent choice of the best performing designs among all simulated design options, the ranking methodology being the third factor. We apply the above steps to a specific stack, where five different designs have been considered. Simulated versus measured values are compared. A good agreement between simulation and measurement is achieved.
{"title":"Overlay metrology performance prediction fidelity: the factors enabling a successful target design cycle","authors":"Inna Tarshish-Shapir, E. Hajaj, Greg Gray, J. Hodges, Jianming Zhou, Sarah Wu, Sam Moore, G. Ben-Dov, C. Dror, Z. Lindenfeld, D. Gready, M. Ghinovker, M. Adel","doi":"10.1117/12.2219181","DOIUrl":"https://doi.org/10.1117/12.2219181","url":null,"abstract":"Overlay metrology performances highly depend on the detailed design of the measured target. Hence performing simulations is an essential tool for optimizing target design. We demonstrate for scatterometry overlay (SCOL) three key factors which enable consistency in ranking between simulated and measured metrology performance for target design. The first factor, to enable high fidelity simulations for the purpose of target design, is stack and topography verification of model inputs. We report in detail the best known film metrology methods required to achieve model integrity. The second factor is the method of calculation of metrology performance metrics based on target cell reflectivities from electro-magnetic (EM) simulations. These metrics enable ranking of different designs, and subsequent choice of the best performing designs among all simulated design options, the ranking methodology being the third factor. We apply the above steps to a specific stack, where five different designs have been considered. Simulated versus measured values are compared. A good agreement between simulation and measurement is achieved.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"9778 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130437437","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
The impact of EUV mask surface conditions on the patterned mask inspection process was investigated. The results of simulations show that the defect detection capability is degraded by the formation of a native oxide film on the surface of a Ru capped multilayer. This effect was assessed by constructing the secondary electron yield (SEY) curves of the EUV mask materials. These experimentally-obtained SEY curves were examined using semi-empirical Monte Carlo simulations. The simulation results demonstrated that a native oxide film increased the SEY, and that this effect varied with film thickness. The results suggest that defect detection capability will vary according to the thickness of the native oxide when employing an inspection system using an electron beam technique. Also of interest is the finding that the thickness of the native oxide film can be ascertained by fitting the SEY curves.
{"title":"Identification of multilayer structures using secondary electron yield curves: effect of native oxide films on EUV-patterned mask inspection","authors":"S. Iida, K. Ohya, R. Hirano, Hidehiro Watanabe","doi":"10.1117/12.2218944","DOIUrl":"https://doi.org/10.1117/12.2218944","url":null,"abstract":"The impact of EUV mask surface conditions on the patterned mask inspection process was investigated. The results of simulations show that the defect detection capability is degraded by the formation of a native oxide film on the surface of a Ru capped multilayer. This effect was assessed by constructing the secondary electron yield (SEY) curves of the EUV mask materials. These experimentally-obtained SEY curves were examined using semi-empirical Monte Carlo simulations. The simulation results demonstrated that a native oxide film increased the SEY, and that this effect varied with film thickness. The results suggest that defect detection capability will vary according to the thickness of the native oxide when employing an inspection system using an electron beam technique. Also of interest is the finding that the thickness of the native oxide film can be ascertained by fitting the SEY curves.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"39 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134564796","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Young Ki Kim, Yen-Jen Chen, Xueli Hao, Pavan Samudrala, Juan-Manuel Gomez, Mark O. Mahoney, F. Kamalizadeh, J. K. Hanson, Shawn Lee, Ye Tian
With decreasing CDOF (Critical Depth Of Focus) for 20/14nm technology and beyond, focus errors are becoming increasingly critical for on-product performance. Current on product focus control techniques in high volume manufacturing are limited; It is difficult to define measurable focus error and optimize focus response on product with existing methods due to lack of credible focus measurement methodologies. Next to developments in imaging and focus control capability of scanners and general tool stability maintenance, on-product focus control improvements are also required to meet on-product imaging specifications. In this paper, we discuss focus monitoring, wafer (edge) fingerprint correction and on-product focus budget analysis through diffraction based focus (DBF) measurement methodology. Several examples will be presented showing better focus response and control on product wafers. Also, a method will be discussed for a focus interlock automation system on product for a high volume manufacturing (HVM) environment.
{"title":"Focus control enhancement and on-product focus response analysis methodology","authors":"Young Ki Kim, Yen-Jen Chen, Xueli Hao, Pavan Samudrala, Juan-Manuel Gomez, Mark O. Mahoney, F. Kamalizadeh, J. K. Hanson, Shawn Lee, Ye Tian","doi":"10.1117/12.2213019","DOIUrl":"https://doi.org/10.1117/12.2213019","url":null,"abstract":"With decreasing CDOF (Critical Depth Of Focus) for 20/14nm technology and beyond, focus errors are becoming increasingly critical for on-product performance. Current on product focus control techniques in high volume manufacturing are limited; It is difficult to define measurable focus error and optimize focus response on product with existing methods due to lack of credible focus measurement methodologies. Next to developments in imaging and focus control capability of scanners and general tool stability maintenance, on-product focus control improvements are also required to meet on-product imaging specifications. In this paper, we discuss focus monitoring, wafer (edge) fingerprint correction and on-product focus budget analysis through diffraction based focus (DBF) measurement methodology. Several examples will be presented showing better focus response and control on product wafers. Also, a method will be discussed for a focus interlock automation system on product for a high volume manufacturing (HVM) environment.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128836253","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
K. Bhattacharyya, A. D. den Boef, G. Storms, Joost van Heijst, M. Noot, Kevin An, Noh-Kyoung Park, Se-Ra Jeon, Nang-Lyeom Oh, Elliott McNamara, Frank van de Mast, SeungHwa Oh, S. Y. Lee, C. Hwang, Kuntack Lee
With the increase of process complexity in advanced nodes, the requirements of process robustness in overlay metrology continues to tighten. Especially with the introduction of newer materials in the film-stack along with typical stack variations (thickness, optical properties, profile asymmetry etc.), the signal formation physics in diffraction-based overlay (DBO) becomes an important aspect to apply in overlay metrology target and recipe selection. In order to address the signal formation physics, an effort is made towards studying the swing-curve phenomena through wavelength and polarizations on production stacks using simulations as well as experimental technique using DBO. The results provide a wealth of information on target and recipe selection for robustness. Details from simulation and measurements will be reported in this technical publication.
{"title":"A study of swing-curve physics in diffraction-based overlay","authors":"K. Bhattacharyya, A. D. den Boef, G. Storms, Joost van Heijst, M. Noot, Kevin An, Noh-Kyoung Park, Se-Ra Jeon, Nang-Lyeom Oh, Elliott McNamara, Frank van de Mast, SeungHwa Oh, S. Y. Lee, C. Hwang, Kuntack Lee","doi":"10.1117/12.2222040","DOIUrl":"https://doi.org/10.1117/12.2222040","url":null,"abstract":"With the increase of process complexity in advanced nodes, the requirements of process robustness in overlay metrology continues to tighten. Especially with the introduction of newer materials in the film-stack along with typical stack variations (thickness, optical properties, profile asymmetry etc.), the signal formation physics in diffraction-based overlay (DBO) becomes an important aspect to apply in overlay metrology target and recipe selection. In order to address the signal formation physics, an effort is made towards studying the swing-curve phenomena through wavelength and polarizations on production stacks using simulations as well as experimental technique using DBO. The results provide a wealth of information on target and recipe selection for robustness. Details from simulation and measurements will be reported in this technical publication.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"50 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127242264","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}