首页 > 最新文献

SPIE Advanced Lithography最新文献

英文 中文
Hybrid overlay metrology for high order correction by using CDSEM 利用CDSEM进行高阶校正的混合叠加计量
Pub Date : 2016-04-08 DOI: 10.1117/12.2222777
P. Leray, S. Halder, G. Lorusso, B. Baudemprez, O. Inoue, Y. Okagawa
Overlay control has become one of the most critical issues for semiconductor manufacturing. Advanced lithographic scanners use high-order corrections or correction per exposure to reduce the residual overlay. It is not enough in traditional feedback of overlay measurement by using ADI wafer because overlay error depends on other process (etching process and film stress, etc.). It needs high accuracy overlay measurement by using AEI wafer. WIS (Wafer Induced Shift) is the main issue for optical overlay, IBO (Image Based Overlay) and DBO (Diffraction Based Overlay). We design dedicated SEM overlay targets for dual damascene process of N10 by i-ArF multi-patterning. The pattern is same as device-pattern locally. Optical overlay tools select segmented pattern to reduce the WIS. However segmentation has limit, especially the via-pattern, for keeping the sensitivity and accuracy. We evaluate difference between the viapattern and relaxed pitch gratings which are similar to optical overlay target at AEI. CDSEM can estimate asymmetry property of target from image of pattern edge. CDSEM can estimate asymmetry property of target from image of pattern edge. We will compare full map of SEM overlay to full map of optical overlay for high order correction ( correctables and residual fingerprints).
覆盖控制已成为半导体制造中最关键的问题之一。先进的光刻扫描仪使用高阶校正或每次曝光校正来减少残留的覆盖层。由于覆盖误差与其他工艺(蚀刻工艺和薄膜应力等)有关,传统的ADI晶圆覆盖测量反馈是不够的。利用AEI晶圆进行高精度的叠加测量。WIS(晶圆感应位移)是光学覆盖、IBO(基于图像的覆盖)和DBO(基于衍射的覆盖)的主要问题。采用i-ArF多图像化设计了N10双damascense工艺专用SEM叠加靶。本地模式与device-pattern相同。光学叠加工具选择分段模式,以减少WIS。然而,分割存在一定的局限性,特别是通过模式分割,难以保持分割的灵敏度和准确性。我们评估了类似于AEI光学覆盖目标的内模光栅和松弛节距光栅之间的差异。CDSEM可以从图案边缘图像中估计目标的不对称性。CDSEM可以从图案边缘图像中估计目标的不对称性。我们将比较SEM覆盖的全图和光学覆盖的全图,以进行高阶校正(可校正和残余指纹)。
{"title":"Hybrid overlay metrology for high order correction by using CDSEM","authors":"P. Leray, S. Halder, G. Lorusso, B. Baudemprez, O. Inoue, Y. Okagawa","doi":"10.1117/12.2222777","DOIUrl":"https://doi.org/10.1117/12.2222777","url":null,"abstract":"Overlay control has become one of the most critical issues for semiconductor manufacturing. Advanced lithographic scanners use high-order corrections or correction per exposure to reduce the residual overlay. It is not enough in traditional feedback of overlay measurement by using ADI wafer because overlay error depends on other process (etching process and film stress, etc.). It needs high accuracy overlay measurement by using AEI wafer. WIS (Wafer Induced Shift) is the main issue for optical overlay, IBO (Image Based Overlay) and DBO (Diffraction Based Overlay). We design dedicated SEM overlay targets for dual damascene process of N10 by i-ArF multi-patterning. The pattern is same as device-pattern locally. Optical overlay tools select segmented pattern to reduce the WIS. However segmentation has limit, especially the via-pattern, for keeping the sensitivity and accuracy. We evaluate difference between the viapattern and relaxed pitch gratings which are similar to optical overlay target at AEI. CDSEM can estimate asymmetry property of target from image of pattern edge. CDSEM can estimate asymmetry property of target from image of pattern edge. We will compare full map of SEM overlay to full map of optical overlay for high order correction ( correctables and residual fingerprints).","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"85 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128686971","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Measurement of asymmetric side wall angles by coherent scanning Fourier scatterometry 相干扫描傅立叶散射法测量不对称侧壁角
Pub Date : 2016-04-07 DOI: 10.1117/12.2218824
M. L. Gödecke, S. Peterhänsel, K. Frenner, W. Osten
We propose a measurement technique which enables the precise determination of side wall angles (SWAs) with absolute values below 1°. Our simulations show that a differentiation between asymmetric SWAs is also possible. The grating structure under investigation has a grating period on the order of a few micrometers. Each grating line consists of a fine sub-grating with 40 nm period and 20 nm critical dimension. Our approach is based on coherent high-NA Fourier scatterometry, extended by a lateral scan over the sample. Additionally, a 180°-shearing element allows for coherent superposition of the higher diffraction orders.
我们提出了一种测量技术,可以精确测定绝对值低于1°的侧壁角(SWAs)。我们的模拟表明,不对称SWAs之间的区分也是可能的。所研究的光栅结构具有几微米量级的光栅周期。每条光栅线由一个周期为40 nm、临界尺寸为20 nm的精细子光栅组成。我们的方法是基于相干高na傅立叶散射测量法,通过对样品进行横向扫描来扩展。此外,180°剪切元件允许高衍射阶的相干叠加。
{"title":"Measurement of asymmetric side wall angles by coherent scanning Fourier scatterometry","authors":"M. L. Gödecke, S. Peterhänsel, K. Frenner, W. Osten","doi":"10.1117/12.2218824","DOIUrl":"https://doi.org/10.1117/12.2218824","url":null,"abstract":"We propose a measurement technique which enables the precise determination of side wall angles (SWAs) with absolute values below 1°. Our simulations show that a differentiation between asymmetric SWAs is also possible. The grating structure under investigation has a grating period on the order of a few micrometers. Each grating line consists of a fine sub-grating with 40 nm period and 20 nm critical dimension. Our approach is based on coherent high-NA Fourier scatterometry, extended by a lateral scan over the sample. Additionally, a 180°-shearing element allows for coherent superposition of the higher diffraction orders.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"144 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127303134","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Aerial imaging study of the mask-induced line-width roughness of EUV lithography masks 极紫外光刻掩模引起的线宽粗糙度航空成像研究
Pub Date : 2016-04-04 DOI: 10.1117/12.2219513
A. Wojdyla, A. Donoghue, M. Benk, P. Naulleau, K. Goldberg
EUV lithography uses reflective photomasks to print features on a wafer through the formation of an aerial image. The aerial image is influenced by the mask’s substrate and pattern roughness and by photon shot noise, which collectively affect the line-width on wafer prints, with an impact on local critical dimension uniformity (LCDU). We have used SHARP, an actinic mask-imaging microscope, to study line-width roughness (LWR) in aerial images at sub-nanometer resolution. We studied the impact of photon density and the illumination partial coherence on recorded images, and found that at low coherence settings, the line-width roughness is dominated by photon noise, while at high coherence setting, the effect of speckle becomes more prominent, dominating photon noise for exposure levels of 4 photons/nm2 at threshold on the mask size.
EUV光刻技术利用反射光罩通过形成航空图像在晶圆片上打印特征。航空图像受掩模衬底和图案粗糙度以及光子射击噪声的影响,它们共同影响晶圆印刷上的线宽,从而影响局部临界尺寸均匀性(LCDU)。我们使用光化掩模成像显微镜SHARP研究了亚纳米分辨率航空图像中的线宽粗糙度(LWR)。我们研究了光子密度和光照部分相干性对记录图像的影响,发现在低相干性设置下,线宽粗糙度主要受光子噪声的影响,而在高相干性设置下,散斑的影响更加突出,在掩膜尺寸阈值为4光子/nm2时,散斑的影响主要是光子噪声。
{"title":"Aerial imaging study of the mask-induced line-width roughness of EUV lithography masks","authors":"A. Wojdyla, A. Donoghue, M. Benk, P. Naulleau, K. Goldberg","doi":"10.1117/12.2219513","DOIUrl":"https://doi.org/10.1117/12.2219513","url":null,"abstract":"EUV lithography uses reflective photomasks to print features on a wafer through the formation of an aerial image. The aerial image is influenced by the mask’s substrate and pattern roughness and by photon shot noise, which collectively affect the line-width on wafer prints, with an impact on local critical dimension uniformity (LCDU). We have used SHARP, an actinic mask-imaging microscope, to study line-width roughness (LWR) in aerial images at sub-nanometer resolution. We studied the impact of photon density and the illumination partial coherence on recorded images, and found that at low coherence settings, the line-width roughness is dominated by photon noise, while at high coherence setting, the effect of speckle becomes more prominent, dominating photon noise for exposure levels of 4 photons/nm2 at threshold on the mask size.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"9776 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129466793","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
DSA via hole shrink for advanced node applications 用于高级节点应用的孔收缩DSA
Pub Date : 2016-04-04 DOI: 10.1117/12.2219706
C. Chi, Chi-Chun Liu, Luciana Meli, K. Schmidt, Yongan Xu, Ekmini Anuja DeSilva, M. Sanchez, R. Farrell, Hongyun Cottle, Daiji Kawamura, L. Singh, Tsuyoshi Furukawa, K. Lai, J. Pitera, D. Sanders, D. Hetzer, A. Metz, N. Felix, J. Arnold, M. Colburn
Directed self-assembly (DSA) of block copolymers (BCPs) has become a promising patterning technique for 7nm node hole shrink process due to its material-controlled CD uniformity and process simplicity.[1] For such application, cylinder-forming BCP system has been extensively investigated compared to its counterpart, lamella-forming system, mainly because cylindrical BCPs will form multiple vias in non-circular guiding patterns (GPs), which is considered to be closer to technological needs.[2-5] This technological need to generate multiple DSA domains in a bar-shape GP originated from the resolution limit of lithography, i.e. those vias placed too close to each other will merge and short the circuit. In practice, multiple patterning and self-aligned via (SAV) processes have been implemented in semiconductor manufacturing to address this resolution issue.[6] The former approach separates one pattern layer with unresolvable dense features into several layers with resolvable features, while the latter approach simply utilizes the superposition of via bars and the pre-defined metal trench patterns in a thin hard mask layer to resolve individual vias, as illustrated in Fig 1 (upper). With proper design, using DSA to generate via bars with the SAV process could provide another approach to address the resolution issue.
嵌段共聚物(bcp)定向自组装(DSA)由于其材料控制CD均匀性和工艺简单,已成为一种很有前途的7nm节点孔收缩工艺的制图技术。[1]对于此类应用,圆柱形BCP系统与薄片成形系统相比得到了广泛的研究,主要是因为圆柱形BCP将在非圆形导向模式(GPs)中形成多个通孔,这被认为更接近技术需求。[2-5]由于光刻的分辨率限制,该技术需要在条形GP中产生多个DSA域,即那些放置得太近的过孔会合并并导致电路短路。在实践中,多模式和自对准通孔(SAV)工艺已经在半导体制造中实现,以解决这个分辨率问题。[6]前一种方法将一个具有不可分辨密集特征的图案层分离为具有可分辨特征的若干层,而后一种方法只是在薄硬掩模层中利用通孔条和预先定义的金属沟槽图案的叠加来解析单个通孔,如图1(上)所示。通过适当的设计,使用DSA生成带有SAV过程的通孔条可以提供另一种解决分辨率问题的方法。
{"title":"DSA via hole shrink for advanced node applications","authors":"C. Chi, Chi-Chun Liu, Luciana Meli, K. Schmidt, Yongan Xu, Ekmini Anuja DeSilva, M. Sanchez, R. Farrell, Hongyun Cottle, Daiji Kawamura, L. Singh, Tsuyoshi Furukawa, K. Lai, J. Pitera, D. Sanders, D. Hetzer, A. Metz, N. Felix, J. Arnold, M. Colburn","doi":"10.1117/12.2219706","DOIUrl":"https://doi.org/10.1117/12.2219706","url":null,"abstract":"Directed self-assembly (DSA) of block copolymers (BCPs) has become a promising patterning technique for 7nm node hole shrink process due to its material-controlled CD uniformity and process simplicity.[1] For such application, cylinder-forming BCP system has been extensively investigated compared to its counterpart, lamella-forming system, mainly because cylindrical BCPs will form multiple vias in non-circular guiding patterns (GPs), which is considered to be closer to technological needs.[2-5] This technological need to generate multiple DSA domains in a bar-shape GP originated from the resolution limit of lithography, i.e. those vias placed too close to each other will merge and short the circuit. In practice, multiple patterning and self-aligned via (SAV) processes have been implemented in semiconductor manufacturing to address this resolution issue.[6] The former approach separates one pattern layer with unresolvable dense features into several layers with resolvable features, while the latter approach simply utilizes the superposition of via bars and the pre-defined metal trench patterns in a thin hard mask layer to resolve individual vias, as illustrated in Fig 1 (upper). With proper design, using DSA to generate via bars with the SAV process could provide another approach to address the resolution issue.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"210 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121373108","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Advanced CD-SEM metrology for qualification of DSA patterns using coordinated line epitaxy (COOL) process 采用协调线外延(COOL)工艺的高级CD-SEM测量方法鉴定DSA图案
Pub Date : 2016-04-04 DOI: 10.1117/12.2218605
T. Kato, J. Konishi, M. Ikota, S. Yamaguchi, Y. Seino, Hironobu Sato, Y. Kasahara, T. Azuma
Directed self-assembly (DSA) applying chemical epitaxy is one of the promising lithographic solutions for next generation semiconductor device manufacturing. Especially, DSA lithography using coordinated line epitaxy (COOL) process is obviously one of candidates which could be the first generation of DSA applying PS-b-PMMA block copolymer (BCP) for sub-15nm dense line patterning . DSA can enhance the pitch resolutions, and can mitigate CD errors to the values much smaller than those of the originally exposed guiding patterns. On the other hand, local line placement error often results in a worse value, with distinctive trends depending on the process conditions. To address this issue, we introduce an enhanced measurement technology of DSA line patterns with distinguishing their locations in order to evaluate nature of edge placement and roughness corresponding to individual pattern locations by using images of CD-SEM. Additionally correlations among edge roughness of each line and each space are evaluated and discussed. This method can visualize features of complicated roughness easily to control COOL process. As a result, we found the followings. (1) Line placement error and line placement roughness of DSA were slightly different each other depending on their relative position to the chemical guide patterns. (2) In middle frequency area of PSD (Power Spectral Density) analysis graphs, it was observed that shapes were sensitively changed by process conditions of chemical stripe guide size and anneals temperature. (3) Correlation coefficient analysis using PSD was able to clarify characteristics of latent defect corresponding to physical and chemical property of BCP materials.
应用化学外延的定向自组装(DSA)是下一代半导体器件制造中有前途的光刻解决方案之一。特别是,采用协调线外延(COOL)工艺的DSA光刻技术显然是第一代应用PS-b-PMMA嵌段共聚物(BCP)进行亚15nm密集线图像化的DSA技术的候选者之一。DSA可以提高基音分辨率,并且可以将CD误差降低到比原始暴露的引导模式小得多的值。另一方面,局部线放置误差通常会导致较差的值,并根据工艺条件具有不同的趋势。为了解决这一问题,我们引入了一种增强的DSA线模式测量技术,通过CD-SEM图像来区分它们的位置,以便评估与单个模式位置对应的边缘放置和粗糙度的性质。此外,评估和讨论了每条线和每个空间的边缘粗糙度之间的相关性。该方法可以将复杂的粗糙度特征可视化,便于COOL过程的控制。结果,我们发现了以下内容。(1) DSA的线放置误差和线放置粗糙度随其相对于化学导向图案的位置而略有不同。(2)在PSD(功率谱密度)分析图的中频区域,化学条纹波导尺寸和退火温度对形状的变化非常敏感。(3)利用PSD进行相关系数分析,能够明确BCP材料理化性质对应的潜在缺陷特征。
{"title":"Advanced CD-SEM metrology for qualification of DSA patterns using coordinated line epitaxy (COOL) process","authors":"T. Kato, J. Konishi, M. Ikota, S. Yamaguchi, Y. Seino, Hironobu Sato, Y. Kasahara, T. Azuma","doi":"10.1117/12.2218605","DOIUrl":"https://doi.org/10.1117/12.2218605","url":null,"abstract":"Directed self-assembly (DSA) applying chemical epitaxy is one of the promising lithographic solutions for next generation semiconductor device manufacturing. Especially, DSA lithography using coordinated line epitaxy (COOL) process is obviously one of candidates which could be the first generation of DSA applying PS-b-PMMA block copolymer (BCP) for sub-15nm dense line patterning . DSA can enhance the pitch resolutions, and can mitigate CD errors to the values much smaller than those of the originally exposed guiding patterns. On the other hand, local line placement error often results in a worse value, with distinctive trends depending on the process conditions. To address this issue, we introduce an enhanced measurement technology of DSA line patterns with distinguishing their locations in order to evaluate nature of edge placement and roughness corresponding to individual pattern locations by using images of CD-SEM. Additionally correlations among edge roughness of each line and each space are evaluated and discussed. This method can visualize features of complicated roughness easily to control COOL process. As a result, we found the followings. (1) Line placement error and line placement roughness of DSA were slightly different each other depending on their relative position to the chemical guide patterns. (2) In middle frequency area of PSD (Power Spectral Density) analysis graphs, it was observed that shapes were sensitively changed by process conditions of chemical stripe guide size and anneals temperature. (3) Correlation coefficient analysis using PSD was able to clarify characteristics of latent defect corresponding to physical and chemical property of BCP materials.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"285 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131423188","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Sub-15nm patterning technology using directed self-assembly on nano-imprinting guide 基于纳米印迹导向自组装的亚15nm制版技术
Pub Date : 2016-04-04 DOI: 10.1117/12.2219141
S. Morita, M. Kanno, Ryousuke Yamamoto, N. Sasao, Shinobu Sugimura
In next generation lithography to make sub-15nm pattern, Directed self-assembly (DSA) and Nano-imprint lithography (NIL) are proposed. The current DSA process is complicated and it is difficult to decrease width and line edge roughness of a guide pattern for sub-15nm patterning. In the case of NIL, it is difficult to make the master template having sub- 15nm pattern. This paper describes cost-effective lithography process for making sub-15nm pattern using DSA on a guide pattern replicated by Nano-imprinting (NIL + DSA). Simple process for making sub-15nm pattern is proposed. The quartz templates are made and line/space patterns of half pitch (hp) 12nm and hp9.5nm are obtained by NIL + DSA.
在下一代光刻技术中,提出了定向自组装技术(DSA)和纳米压印技术(NIL)。目前的DSA工艺比较复杂,难以降低15nm以下导图的宽度和线边粗糙度。在NIL的情况下,很难使主模板具有低于15nm的图案。本文介绍了在纳米压印(NIL + DSA)复制的导向图案上利用DSA制作亚15nm图案的低成本光刻工艺。提出了制作亚15nm图案的简单工艺。制作了石英模板,并通过NIL + DSA获得了半间距(hp) 12nm和hp9.5nm的线/空间图案。
{"title":"Sub-15nm patterning technology using directed self-assembly on nano-imprinting guide","authors":"S. Morita, M. Kanno, Ryousuke Yamamoto, N. Sasao, Shinobu Sugimura","doi":"10.1117/12.2219141","DOIUrl":"https://doi.org/10.1117/12.2219141","url":null,"abstract":"In next generation lithography to make sub-15nm pattern, Directed self-assembly (DSA) and Nano-imprint lithography (NIL) are proposed. The current DSA process is complicated and it is difficult to decrease width and line edge roughness of a guide pattern for sub-15nm patterning. In the case of NIL, it is difficult to make the master template having sub- 15nm pattern. This paper describes cost-effective lithography process for making sub-15nm pattern using DSA on a guide pattern replicated by Nano-imprinting (NIL + DSA). Simple process for making sub-15nm pattern is proposed. The quartz templates are made and line/space patterns of half pitch (hp) 12nm and hp9.5nm are obtained by NIL + DSA.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"18 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121492960","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Benchmarking study of EUV resists for NXE:3300B 用于NXE:3300B的EUV电阻的基准测试研究
Pub Date : 2016-04-04 DOI: 10.1117/12.2222065
Yu-Jen Fan, M. Mellish, J. Chun, S. McWilliams, C. Montgomery, W. Montgomery
EUV lithographers have continued to reduce the barriers to high Volume Manufacturing (HVM) introduction. Tool, mask and photoresist manufacturers have made excellent progress on several fronts, including resolution of many EUV source related issues, resists for early imaging characterization, and defect inspection tooling. In this discussion, we will focus on photoresist development. For many years, the team at SUNY Polytechnic Institute (SUNY Poly) has provided results from a neutral photoresist benchmarking study, which has been quite useful in establishing the limits of currently available photoresist systems [1-5]. New photoresist systems are being developed with improving resolution, but they also have lower coated thicknesses. In an effort to continue to point out potential lithographic problem areas, SUNY Poly has been evaluating the ‘etch compatibility’ of the best performing photoresists available in order to determine if the decreasing aspect ratios would prove a detriment to etch performance. In this paper, we will show data from our most recent benchmark study. We will also include smoothing process results, as well as some post-etch results obtained using the NXE:3300B resident on the SUNY Poly campus.
EUV光刻机继续降低大批量生产(HVM)引入的障碍。工具、掩模和光抗蚀剂制造商在几个方面取得了出色的进展,包括解决许多与EUV源相关的问题、用于早期成像表征的抗蚀剂和缺陷检测工具。在本次讨论中,我们将重点讨论光刻胶的开发。多年来,纽约州立大学理工学院(SUNY Poly)的团队提供了中性光刻胶基准研究的结果,这对于确定当前可用的光刻胶系统的极限非常有用[1-5]。新的光刻胶系统正在开发中,其分辨率正在提高,但它们的涂层厚度也较低。为了继续指出潜在的光刻问题领域,SUNY Poly一直在评估性能最好的光刻胶的“蚀刻兼容性”,以确定不断降低的长宽比是否会损害蚀刻性能。在本文中,我们将展示来自我们最新基准研究的数据。我们还将包括平滑处理结果,以及使用纽约州立大学保利校区的NXE:3300B获得的一些后蚀刻结果。
{"title":"Benchmarking study of EUV resists for NXE:3300B","authors":"Yu-Jen Fan, M. Mellish, J. Chun, S. McWilliams, C. Montgomery, W. Montgomery","doi":"10.1117/12.2222065","DOIUrl":"https://doi.org/10.1117/12.2222065","url":null,"abstract":"EUV lithographers have continued to reduce the barriers to high Volume Manufacturing (HVM) introduction. Tool, mask and photoresist manufacturers have made excellent progress on several fronts, including resolution of many EUV source related issues, resists for early imaging characterization, and defect inspection tooling. In this discussion, we will focus on photoresist development. For many years, the team at SUNY Polytechnic Institute (SUNY Poly) has provided results from a neutral photoresist benchmarking study, which has been quite useful in establishing the limits of currently available photoresist systems [1-5]. New photoresist systems are being developed with improving resolution, but they also have lower coated thicknesses. In an effort to continue to point out potential lithographic problem areas, SUNY Poly has been evaluating the ‘etch compatibility’ of the best performing photoresists available in order to determine if the decreasing aspect ratios would prove a detriment to etch performance. In this paper, we will show data from our most recent benchmark study. We will also include smoothing process results, as well as some post-etch results obtained using the NXE:3300B resident on the SUNY Poly campus.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"4 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133883848","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Grapho-epitaxial sub-10nm line and space patterning using lamellar-forming Si-containing block copolymer 利用层状形成含硅嵌段共聚物的石墨外延亚10nm线和空间图像化
Pub Date : 2016-04-01 DOI: 10.1117/12.2218758
Hironobu Sato, Y. Kasahara, N. Kihara, Y. Seino, K. Miyagi, S. Minegishi, H. Kubota, Katsutoshi Kobayashi, H. Kanai, K. Kodera, Yoshiaki Kawamonzen, M. Shiraishi, H. Yamano, S. Nomura, T. Azuma, T. Hayakawa
Si-rich poly((polyhedral oligomeric silsesquioxane) methacrylate)-b-poly(trifluoroethyl methacrylate) (PMAPOSS-b- PTFEMA) was used to form 8-nm half-pitch line and space (L/S) pattern via grapho-epitaxy. Vertical alignment of the lamellae was achieved without using either a neutral layer or top-coating material. Because PMAPOSS-b-PTFEMA forms vertical lamellae on a variety of substrates, we used two types of physical guide structures for grapho-epitaxy; one was a substrate guide and the other was a guide with an embedded under layer. On the substrate guide structure, a fine L/S pattern was obtained with trench widths equal to 3–7 periods of the lamella spacing of the block copolymer, Lo. However, on the embedded under layer guide structure, L/S pattern was observed only with 3 Lo and 4 Lo in trench width. Cross-sectional transmission electron microscope images revealed that a thick PMAPOSS layer was formed under the PMAPOSS-b-PTFEMA L/S pattern. Pattern transfer of the PMAPOSS-b-PTFEMA L/S pattern was prevented by a thick PMAPOSS layer. To achieve pattern transfer to the under layer, optimization of the surface properties is necessary.
采用石墨外延法制备了富硅聚(多面体低聚硅氧烷)甲基丙烯酸酯-b-聚(三氟乙基甲基丙烯酸酯)(PMAPOSS-b- PTFEMA),形成了8nm的半间距线和空间(L/S)图。在不使用中性层或顶部涂层材料的情况下,实现了片层的垂直对齐。由于paposs -b- ptfema在多种衬底上形成垂直片层,我们使用两种类型的物理导向结构进行石墨外延;一个是基板导向,另一个是下嵌层导向。在衬底导向结构上,获得了良好的L/S模式,其沟槽宽度等于嵌段共聚物Lo片层间距的3-7周期。而在埋置层下导向结构上,沟槽宽度仅为3 Lo和4 Lo时出现L/S模式。透射电镜图像显示,在PMAPOSS-b- ptfema L/S模式下形成了一层较厚的PMAPOSS层。PMAPOSS-b- ptfema L/S模式的模式转移被厚的PMAPOSS层阻止。为了实现图案转移到下层,优化表面性能是必要的。
{"title":"Grapho-epitaxial sub-10nm line and space patterning using lamellar-forming Si-containing block copolymer","authors":"Hironobu Sato, Y. Kasahara, N. Kihara, Y. Seino, K. Miyagi, S. Minegishi, H. Kubota, Katsutoshi Kobayashi, H. Kanai, K. Kodera, Yoshiaki Kawamonzen, M. Shiraishi, H. Yamano, S. Nomura, T. Azuma, T. Hayakawa","doi":"10.1117/12.2218758","DOIUrl":"https://doi.org/10.1117/12.2218758","url":null,"abstract":"Si-rich poly((polyhedral oligomeric silsesquioxane) methacrylate)-b-poly(trifluoroethyl methacrylate) (PMAPOSS-b- PTFEMA) was used to form 8-nm half-pitch line and space (L/S) pattern via grapho-epitaxy. Vertical alignment of the lamellae was achieved without using either a neutral layer or top-coating material. Because PMAPOSS-b-PTFEMA forms vertical lamellae on a variety of substrates, we used two types of physical guide structures for grapho-epitaxy; one was a substrate guide and the other was a guide with an embedded under layer. On the substrate guide structure, a fine L/S pattern was obtained with trench widths equal to 3–7 periods of the lamella spacing of the block copolymer, Lo. However, on the embedded under layer guide structure, L/S pattern was observed only with 3 Lo and 4 Lo in trench width. Cross-sectional transmission electron microscope images revealed that a thick PMAPOSS layer was formed under the PMAPOSS-b-PTFEMA L/S pattern. Pattern transfer of the PMAPOSS-b-PTFEMA L/S pattern was prevented by a thick PMAPOSS layer. To achieve pattern transfer to the under layer, optimization of the surface properties is necessary.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"50 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128858459","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Sub-10nm lines and spaces patterning using grapho-epitaxial directed self-assembly of lamellar block copolymers 利用石墨外延定向自组装层状嵌段共聚物的亚10nm线和空间图图化
Pub Date : 2016-04-01 DOI: 10.1117/12.2218787
Y. Seino, Hironobu Sato, Y. Kasahara, S. Minegishi, K. Miyagi, H. Kubota, H. Kanai, K. Kodera, M. Shiraishi, N. Kihara, Yoshiaki Kawamonzen, T. Tobana, Katsutoshi Kobayashi, H. Yamano, T. Azuma, S. Nomura
Our target at EIDEC is to study the feasibility of directed self-assembly (DSA) technology for semiconductor device manufacturing through electrical yield verification by development of such as process, material, metrology, simulation and design for DSA. We previously developed a grapho/chemo-hybrid coordinated line epitaxial process for sub-15-nm line-and-space (L/S) patterning using polystyrene-block-poly(methyl methacrylate) lamellar block copolymers (BCPs)1– 3. Electrical yield verification results showed that a 30% open yield was successfully achieved with a metal wire line length of 700 μm 4. In the next stage of the evaluation, a sub-10-nm L/S DSA patterning process based on graphoepitaxial DSA of 20-nm lamellar period organic BCPs was developed based on neutral layer and guide space width optimization. At a 30-nm guide height, problems such as BCP overflow and DSA line shorts were observed after the dry development. At a 60-nm guide height, grid-like short defects were observed under dry development shallow etch conditions and sub-10-nm L/S patterns were formed under optimized etch conditions with a suitable BCP film thickness margin. The process performance was evaluated in terms of defects and critical dimension measurements using an electron beam inspection system and critical dimension-scanning electron microscope metrology. The main DSA defects were short defects, and the spatial roughness appeared to be caused by the periodic pitches of these short defects and the guide roughness. We successfully demonstrated the fabrication of sub-10-nm metal wires consists of L/S, pad, connect and cut patterns with controlled alignment and stack structure through lithography, etching and CMP process on a 300- mm wafer using the fully integrated DSA process and damascene processing.
我们在EIDEC的目标是通过DSA的工艺、材料、计量、模拟和设计等方面的开发,研究半导体器件制造中定向自组装(DSA)技术的可行性。我们之前使用聚苯乙烯-嵌段聚(甲基丙烯酸甲酯)层状嵌段共聚物(bcp)1 - 3开发了一种用于sub- 15nm线与空间(L/S)图片化的石墨/化学混合协调线外延工艺。电学良率验证结果表明,当金属线长度为700 μm 4时,成功实现了30%的开路良率。在下一阶段的评估中,基于中性层和波导空间宽度优化,开发了基于20纳米片层周期有机bcp的石墨外延DSA的亚10纳米L/S DSA图像化工艺。在30 nm导高处,干显影后出现BCP溢出、DSA线短等问题。在60 nm的波导高度下,在干显影浅刻蚀条件下观察到栅格状的短缺陷,在优化的刻蚀条件下形成了10 nm以下的L/S图案,并具有合适的BCP膜厚度边界。利用电子束检测系统和临界尺寸扫描电子显微镜测量技术对缺陷和临界尺寸测量进行了工艺性能评估。DSA缺陷以短缺陷为主,其空间粗糙度由短缺陷的周期节距和波导粗糙度共同决定。我们成功地展示了在300毫米晶圆上使用完全集成的DSA工艺和damascene工艺,通过光刻、蚀刻和CMP工艺,由L/S、衬垫、连接和切割图案组成的10纳米以下金属线的制造。
{"title":"Sub-10nm lines and spaces patterning using grapho-epitaxial directed self-assembly of lamellar block copolymers","authors":"Y. Seino, Hironobu Sato, Y. Kasahara, S. Minegishi, K. Miyagi, H. Kubota, H. Kanai, K. Kodera, M. Shiraishi, N. Kihara, Yoshiaki Kawamonzen, T. Tobana, Katsutoshi Kobayashi, H. Yamano, T. Azuma, S. Nomura","doi":"10.1117/12.2218787","DOIUrl":"https://doi.org/10.1117/12.2218787","url":null,"abstract":"Our target at EIDEC is to study the feasibility of directed self-assembly (DSA) technology for semiconductor device manufacturing through electrical yield verification by development of such as process, material, metrology, simulation and design for DSA. We previously developed a grapho/chemo-hybrid coordinated line epitaxial process for sub-15-nm line-and-space (L/S) patterning using polystyrene-block-poly(methyl methacrylate) lamellar block copolymers (BCPs)1– 3. Electrical yield verification results showed that a 30% open yield was successfully achieved with a metal wire line length of 700 μm 4. In the next stage of the evaluation, a sub-10-nm L/S DSA patterning process based on graphoepitaxial DSA of 20-nm lamellar period organic BCPs was developed based on neutral layer and guide space width optimization. At a 30-nm guide height, problems such as BCP overflow and DSA line shorts were observed after the dry development. At a 60-nm guide height, grid-like short defects were observed under dry development shallow etch conditions and sub-10-nm L/S patterns were formed under optimized etch conditions with a suitable BCP film thickness margin. The process performance was evaluated in terms of defects and critical dimension measurements using an electron beam inspection system and critical dimension-scanning electron microscope metrology. The main DSA defects were short defects, and the spatial roughness appeared to be caused by the periodic pitches of these short defects and the guide roughness. We successfully demonstrated the fabrication of sub-10-nm metal wires consists of L/S, pad, connect and cut patterns with controlled alignment and stack structure through lithography, etching and CMP process on a 300- mm wafer using the fully integrated DSA process and damascene processing.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115805437","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A route for industry compatible directed self-assembly of high-chi PS-PDMS block copolymers 高阶PS-PDMS嵌段共聚物工业兼容定向自组装路线
Pub Date : 2016-04-01 DOI: 10.1117/12.2219312
S. Böhme, C. Girardot, J. Garnier, J. Arias‐Zapata, S. Arnaud, R. Tiron, O. Marconot, D. Buttard, M. Zelsmann
In this work, we present completely industry adapted processes for high-chi PS-PDMS block copolymers. DSA was performed on trenches fabricated within standard photolithography stacks and pattern transfer was made by using etching processes similar to those used for gate etching in industry. We propose the alignment of two different PS-PDMS (45.5kg/mol, 16kg/mol) solely by thermal annealing. By adding plasticizer molecules in the high molecular weight BCP (45.5k), we have not only avoided solvent vapor annealing but also reduced significantly the processing time. The properties of the guiding lines and the quality of the final BCP hard mask (CD uniformity, LWR, LER) were investigated.
在这项工作中,我们提出了完全适合工业的高chi PS-PDMS嵌段共聚物工艺。在标准光刻堆栈内制作的沟槽上进行DSA,并使用类似于工业中用于栅极蚀刻的蚀刻工艺进行图案转移。我们提出了两种不同的PS-PDMS (45.5kg/mol, 16kg/mol)仅通过热退火进行对准。通过在高分子量BCP (45.5k)中加入增塑剂分子,我们不仅避免了溶剂蒸汽退火,而且显著缩短了处理时间。研究了导光线的性能和最终BCP硬掩膜的质量(CD均匀性、LWR、LER)。
{"title":"A route for industry compatible directed self-assembly of high-chi PS-PDMS block copolymers","authors":"S. Böhme, C. Girardot, J. Garnier, J. Arias‐Zapata, S. Arnaud, R. Tiron, O. Marconot, D. Buttard, M. Zelsmann","doi":"10.1117/12.2219312","DOIUrl":"https://doi.org/10.1117/12.2219312","url":null,"abstract":"In this work, we present completely industry adapted processes for high-chi PS-PDMS block copolymers. DSA was performed on trenches fabricated within standard photolithography stacks and pattern transfer was made by using etching processes similar to those used for gate etching in industry. We propose the alignment of two different PS-PDMS (45.5kg/mol, 16kg/mol) solely by thermal annealing. By adding plasticizer molecules in the high molecular weight BCP (45.5k), we have not only avoided solvent vapor annealing but also reduced significantly the processing time. The properties of the guiding lines and the quality of the final BCP hard mask (CD uniformity, LWR, LER) were investigated.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"69 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126364707","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
期刊
SPIE Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1