首页 > 最新文献

SPIE Advanced Lithography最新文献

英文 中文
Reversible nano-lithography for commercial approaches 用于商业方法的可逆纳米光刻
Pub Date : 2016-04-01 DOI: 10.1117/12.2205065
Jae Hyun Kim, C. Ahn
The methodology suggested in this research provides the great possibility of creating nanostructures composed of various materials, such as soft polymer, hard polymer, and metal, as well as Si. Such nanostructures are required for a vast range of optical and display devices, photonic components, physical devices, energy devices including electrodes of secondary batteries, fuel cells, solar cells, and energy harvesters, biological devices including biochips, biomimetic or biosimilar structured devices, and mechanical devices including micro- or nano-scale sensors and actuators.
本研究提出的方法为制造由软聚合物、硬聚合物、金属以及硅等各种材料组成的纳米结构提供了巨大的可能性。这种纳米结构需要广泛的光学和显示器件、光子元件、物理器件、能源器件(包括二次电池、燃料电池、太阳能电池和能量采集器的电极)、生物器件(包括生物芯片、仿生或生物类似结构器件)和机械器件(包括微或纳米级传感器和执行器)。
{"title":"Reversible nano-lithography for commercial approaches","authors":"Jae Hyun Kim, C. Ahn","doi":"10.1117/12.2205065","DOIUrl":"https://doi.org/10.1117/12.2205065","url":null,"abstract":"The methodology suggested in this research provides the great possibility of creating nanostructures composed of various materials, such as soft polymer, hard polymer, and metal, as well as Si. Such nanostructures are required for a vast range of optical and display devices, photonic components, physical devices, energy devices including electrodes of secondary batteries, fuel cells, solar cells, and energy harvesters, biological devices including biochips, biomimetic or biosimilar structured devices, and mechanical devices including micro- or nano-scale sensors and actuators.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"15 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126732449","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Strategies to enable directed self-assembly contact hole shrink for tight pitches 实现定向自组装接触孔收缩的策略
Pub Date : 2016-04-01 DOI: 10.1117/12.2219213
K. Schmidt, H. Osaki, Kota Nishino, M. Sanchez, Chi-Chun Liu, Tsuyoshi Furukawa, C. Chi, J. Pitera, N. Felix, D. Sanders
In recent years major advancements have been made in the directed self-assembly (DSA) of block copolymers (BCP). DSA is now widely regarded as a leading complementary patterning technique for future node integrated circuit (IC) device manufacturing and is considered for the 7 nm node. One of the most straightforward approaches for implementation of DSA is via patterning by graphoepitaxy. In this approach, the guiding pattern dictates the location and pitch of the resulting hole structures while the material properties of the BCP control the feature size and uniformity. Tight pitches need to be available for a successful implementation of DSA for future node via patterning which requires DSA in small guiding pattern CDs. Here, we show strategies how to enable the desired CD shrink in these small guiding pattern vias by utilizing high χ block copolymers and/or controlling the surface properties of the template, i.e. sidewall and bottom affinity to the blocks.
近年来,嵌段共聚物(BCP)的定向自组装(DSA)研究取得了重大进展。DSA现在被广泛认为是未来节点集成电路(IC)器件制造的领先互补图形技术,并被考虑用于7纳米节点。实现DSA最直接的方法之一是通过石墨外延进行图案化。在这种方法中,导向图案决定了孔结构的位置和间距,而BCP的材料特性控制了特征尺寸和均匀性。通过模式成功实现未来节点的DSA需要紧凑的间距,这需要DSA在小的引导模式cd中。在这里,我们展示了如何通过利用高χ嵌段共聚物和/或控制模板的表面特性,即与嵌段的侧壁和底部亲和力,在这些小的导向图案中实现所需的CD收缩的策略。
{"title":"Strategies to enable directed self-assembly contact hole shrink for tight pitches","authors":"K. Schmidt, H. Osaki, Kota Nishino, M. Sanchez, Chi-Chun Liu, Tsuyoshi Furukawa, C. Chi, J. Pitera, N. Felix, D. Sanders","doi":"10.1117/12.2219213","DOIUrl":"https://doi.org/10.1117/12.2219213","url":null,"abstract":"In recent years major advancements have been made in the directed self-assembly (DSA) of block copolymers (BCP). DSA is now widely regarded as a leading complementary patterning technique for future node integrated circuit (IC) device manufacturing and is considered for the 7 nm node. One of the most straightforward approaches for implementation of DSA is via patterning by graphoepitaxy. In this approach, the guiding pattern dictates the location and pitch of the resulting hole structures while the material properties of the BCP control the feature size and uniformity. Tight pitches need to be available for a successful implementation of DSA for future node via patterning which requires DSA in small guiding pattern CDs. Here, we show strategies how to enable the desired CD shrink in these small guiding pattern vias by utilizing high χ block copolymers and/or controlling the surface properties of the template, i.e. sidewall and bottom affinity to the blocks.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"70 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125630353","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Investigation of coat-develop track system for placement error of contact hole shrink process 接触孔收缩工艺放置误差的涂层显影轨迹系统研究
Pub Date : 2016-04-01 DOI: 10.1117/12.2219925
M. Harumoto, H. Stokes, Yuji Tanaka, K. Kaneyama, C. Pieczulewski, M. Asai, I. Servin, M. Argoud, A. Gharbi, C. Lapeyre, R. Tiron, C. Monget
Directed Self-Assembly (DSA) is a well-known candidate for next generation sub-15nm half-pitch lithography. [1-2] DSA processes on 300mm wafers have been demonstrated for several years, and have given a strong impression due to finer pattern results. [3-4] On t he other hand, specific issues with DSA processes have begun to be clear as a result of these recent challenges. [5-6] Pattern placement error, which means the pattern shift after DSA fabrication, is recognized as one of these typical issues. Coat-Develop Track systems contribute to the DSA pattern fabrication and also influence the DSA pattern performance.[4] In this study, the placement error was investigated using a simple contact-hole pattern and subsequent contact-hole shrink process implemented on the SOKUDO DUO track. Thus, we will show the placement error of contact-hole shrink using a DSA process and discuss the difference between DSA and other shrink methods.
定向自组装(DSA)是下一代sub-15nm半间距光刻技术的公认候选技术。[1-2] 300mm晶圆上的DSA工艺已经证明了几年,并且由于更精细的图案结果而给人留下了深刻的印象。[3-4]另一方面,由于这些最近的挑战,DSA过程的具体问题已经开始变得清晰。[5-6]模式放置误差,即DSA制造后的模式偏移,被认为是这些典型问题之一。涂层-开发轨道系统有助于DSA图案的制造,也影响DSA图案的性能。[4]在这项研究中,使用简单的接触孔模式和随后在SOKUDO DUO轨道上实现的接触孔收缩工艺来研究放置误差。因此,我们将展示使用DSA工艺的接触孔收缩的放置误差,并讨论DSA与其他收缩方法的区别。
{"title":"Investigation of coat-develop track system for placement error of contact hole shrink process","authors":"M. Harumoto, H. Stokes, Yuji Tanaka, K. Kaneyama, C. Pieczulewski, M. Asai, I. Servin, M. Argoud, A. Gharbi, C. Lapeyre, R. Tiron, C. Monget","doi":"10.1117/12.2219925","DOIUrl":"https://doi.org/10.1117/12.2219925","url":null,"abstract":"Directed Self-Assembly (DSA) is a well-known candidate for next generation sub-15nm half-pitch lithography. [1-2] DSA processes on 300mm wafers have been demonstrated for several years, and have given a strong impression due to finer pattern results. [3-4] On t he other hand, specific issues with DSA processes have begun to be clear as a result of these recent challenges. [5-6] Pattern placement error, which means the pattern shift after DSA fabrication, is recognized as one of these typical issues. Coat-Develop Track systems contribute to the DSA pattern fabrication and also influence the DSA pattern performance.[4] In this study, the placement error was investigated using a simple contact-hole pattern and subsequent contact-hole shrink process implemented on the SOKUDO DUO track. Thus, we will show the placement error of contact-hole shrink using a DSA process and discuss the difference between DSA and other shrink methods.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"29 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123609050","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Nano-imprint lithography using poly (methyl methacrylate) (PMMA) and polystyrene (PS) polymers 纳米压印光刻采用聚甲基丙烯酸甲酯(PMMA)和聚苯乙烯(PS)聚合物
Pub Date : 2016-04-01 DOI: 10.1117/12.2218594
Y. Ting, S. Shy
Nano-imprinting lithography (NIL) technology, as one of the most promising fabrication technologies, has been demonstrated to be a powerful tool for large-area replication up to wafer-level, with features down to nanometer scale. The cost of resists used for NIL is important for wafer-level large-area replication. This study aims to develop capabilities in patterning larger area structure using thermal NIL. The commercial available Poly (Methyl Methacrylate) (PMMA) and Polystyrene (PS) polymers possess a variety of characteristics desirable for NIL, such as low material cost, low bulkvolumetric shrinkage, high spin coating thickness uniformity, high process stability, and acceptable dry-etch resistance. PMMA materials have been utilized for positive electron beam lithography for many years, offering high resolution capability and wide process latitude. In addition, it is preferable to have a negative resist like PMMA, which is a simple polymer with low cost and practically unlimited shelf life, and can be dissolved easily using commercial available Propylene glycol methyl ether acetate (PGMEA) safer solvent to give the preferred film thickness. PS is such a resist, as it undergoes crosslinking when exposed to deep UV light or an electron beam and can be used for NIL. The result is a cost effective patterning larger area structure using thermal nano-imprint lithography (NIL) by using commercial available PMMA and PS ploymers as NIL resists.
纳米压印技术(NIL)作为一种最有前途的制造技术,已被证明是一种强大的工具,可用于圆片级的大面积复制,具有纳米级的特征。用于NIL的抗蚀剂成本对于圆片级大面积复制非常重要。本研究的目的是开发利用热NIL绘制更大面积结构的能力。市面上可用的聚甲基丙烯酸甲酯(PMMA)和聚苯乙烯(PS)聚合物具有低材料成本、低体积收缩率、高旋转涂层厚度均匀性、高工艺稳定性和可接受的干蚀刻性能等多种特性。PMMA材料已用于正电子束光刻多年,提供高分辨率的能力和广泛的工艺纬度。此外,最好有像PMMA这样的负抗蚀剂,PMMA是一种简单的聚合物,成本低,保质期几乎无限,并且可以使用商用的丙二醇甲基醚乙酸酯(PGMEA)更安全的溶剂轻松溶解,以获得首选的薄膜厚度。PS就是这样一种抗蚀剂,当暴露在深紫外光或电子束下时,它会发生交联,可以用于NIL。其结果是利用热纳米压印(NIL)技术,利用市面上可用的PMMA和PS聚合物作为NIL抗蚀剂,获得了一种具有成本效益的更大面积结构的图案。
{"title":"Nano-imprint lithography using poly (methyl methacrylate) (PMMA) and polystyrene (PS) polymers","authors":"Y. Ting, S. Shy","doi":"10.1117/12.2218594","DOIUrl":"https://doi.org/10.1117/12.2218594","url":null,"abstract":"Nano-imprinting lithography (NIL) technology, as one of the most promising fabrication technologies, has been demonstrated to be a powerful tool for large-area replication up to wafer-level, with features down to nanometer scale. The cost of resists used for NIL is important for wafer-level large-area replication. This study aims to develop capabilities in patterning larger area structure using thermal NIL. The commercial available Poly (Methyl Methacrylate) (PMMA) and Polystyrene (PS) polymers possess a variety of characteristics desirable for NIL, such as low material cost, low bulkvolumetric shrinkage, high spin coating thickness uniformity, high process stability, and acceptable dry-etch resistance. PMMA materials have been utilized for positive electron beam lithography for many years, offering high resolution capability and wide process latitude. In addition, it is preferable to have a negative resist like PMMA, which is a simple polymer with low cost and practically unlimited shelf life, and can be dissolved easily using commercial available Propylene glycol methyl ether acetate (PGMEA) safer solvent to give the preferred film thickness. PS is such a resist, as it undergoes crosslinking when exposed to deep UV light or an electron beam and can be used for NIL. The result is a cost effective patterning larger area structure using thermal nano-imprint lithography (NIL) by using commercial available PMMA and PS ploymers as NIL resists.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"8 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123712247","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Control of morphological defects at the boundary between the periodic and non-periodic patterns in directed self-assembly process 定向自组装过程中周期性和非周期性图案边界处形态缺陷的控制
Pub Date : 2016-04-01 DOI: 10.1117/12.2218234
A. Yoshida, K. Yoshimoto, M. Ohshima, K. Kodera, Y. Naka, H. Kanai, S. Kobayashi, S. Maeda, Phubes Jiravanichsakul, Katsutoshi Kobayashi, H. Aoyama
In this study, we investigated a directed self-assembly (DSA) flow that could include a non-periodic pattern (i.e., wide line) lying in between the periodic line/space patterns, in a relatively simple and inexpensive way. A symmetric poly(styrene-block-methyl methacrylate) (PS-b-PMMA) with the natural periodicity (L0) of 30 nm was employed here. Our DSA flow has two key features. First, we used a hybrid approach that combined chemoepitaxy and graphoepitaxy methods to generate PMMA-attractive pinning guide patterns directly from ArF resist. Second, we attempted to utilize both the perpendicular lamellae in the periodic regions and the horizontal lamellae on the non-periodic pattern as an etch template. The advantage of this process will be a reduction of the number of lithographic processes, whereas the challenge is how to control the mixed morphologies at the boundary between the periodic and non-periodic regions. Our preliminary results from simulations and experiments showed that, in order to generate the horizontal lamellae on the non-periodic pattern, the PS-b-PMMA thickness on top of the non-periodic guide pattern should roughly match to ~1 L0, and the width of the non-periodic pattern should be larger than ~3-4 L0. In addition, the space between the periodic and non-periodic regions was found to be critical and it should be basically equal to the space between the guiding pins in the periodic regions (~75 nm) to minimize the formation of fingerprint morphology at the boundaries.
在本研究中,我们以相对简单和廉价的方式研究了一种定向自组装(DSA)流程,该流程可以包括位于周期性线/空间模式之间的非周期性模式(即宽线)。本文采用自然周期性(L0)为30 nm的对称聚苯乙烯-嵌段甲基丙烯酸甲酯(PS-b-PMMA)。我们的DSA流有两个关键特性。首先,我们使用了一种混合方法,将化学外延和石墨外延相结合,直接从ArF抗蚀剂中生成pmma吸引的钉住引导图案。其次,我们尝试利用周期性区域的垂直薄片和非周期性图案上的水平薄片作为蚀刻模板。该工艺的优点是减少了光刻工艺的数量,而挑战在于如何控制周期和非周期区域边界上的混合形貌。我们的初步模拟和实验结果表明,为了在非周期图样上产生水平片层,非周期图样顶部的PS-b-PMMA厚度应大致匹配~1 L0,非周期图样的宽度应大于~3-4 L0。此外,发现周期区和非周期区之间的空间是至关重要的,它应该基本等于周期区(~75 nm)的导针之间的空间,以尽量减少边界处指纹形态的形成。
{"title":"Control of morphological defects at the boundary between the periodic and non-periodic patterns in directed self-assembly process","authors":"A. Yoshida, K. Yoshimoto, M. Ohshima, K. Kodera, Y. Naka, H. Kanai, S. Kobayashi, S. Maeda, Phubes Jiravanichsakul, Katsutoshi Kobayashi, H. Aoyama","doi":"10.1117/12.2218234","DOIUrl":"https://doi.org/10.1117/12.2218234","url":null,"abstract":"In this study, we investigated a directed self-assembly (DSA) flow that could include a non-periodic pattern (i.e., wide line) lying in between the periodic line/space patterns, in a relatively simple and inexpensive way. A symmetric poly(styrene-block-methyl methacrylate) (PS-b-PMMA) with the natural periodicity (L0) of 30 nm was employed here. Our DSA flow has two key features. First, we used a hybrid approach that combined chemoepitaxy and graphoepitaxy methods to generate PMMA-attractive pinning guide patterns directly from ArF resist. Second, we attempted to utilize both the perpendicular lamellae in the periodic regions and the horizontal lamellae on the non-periodic pattern as an etch template. The advantage of this process will be a reduction of the number of lithographic processes, whereas the challenge is how to control the mixed morphologies at the boundary between the periodic and non-periodic regions. Our preliminary results from simulations and experiments showed that, in order to generate the horizontal lamellae on the non-periodic pattern, the PS-b-PMMA thickness on top of the non-periodic guide pattern should roughly match to ~1 L0, and the width of the non-periodic pattern should be larger than ~3-4 L0. In addition, the space between the periodic and non-periodic regions was found to be critical and it should be basically equal to the space between the guiding pins in the periodic regions (~75 nm) to minimize the formation of fingerprint morphology at the boundaries.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"10 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131342868","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Improvement of sub-20nm pattern quality with dose modulation technique for NIL template production 用剂量调制技术改善NIL模板生产中亚20nm图案质量
Pub Date : 2016-04-01 DOI: 10.1117/12.2218809
Keisuke Yagawa, Kunihiro Ugajin, M. Suenaga, S. Kanamitsu, T. Motokawa, Kazuki Hagihara, Yukiyasu Arisawa, S. Kobayashi, M. Saito, Masamitsu Ito
Nanoimprint lithography (NIL) technology is in the spotlight as a next-generation semiconductor manufacturing technique for integrated circuits at 22 nm and beyond. NIL is the unmagnified lithography technique using template which is replicated from master templates. On the other hand, master templates are currently fabricated by electron-beam (EB) lithography[1]. In near future, finer patterns less than 15nm will be required on master template and EB data volume increases exponentially. So, we confront with a difficult challenge. A higher resolution EB mask writer and a high performance fabrication process will be required. In our previous study, we investigated a potential of photomask fabrication process for finer patterning and achieved 15.5nm line and space (L/S) pattern on template by using VSB (Variable Shaped Beam) type EB mask writer and chemically amplified resist. In contrast, we found that a contrast loss by backscattering decreases the performance of finer patterning. For semiconductor devices manufacturing, we must fabricate complicated patterns which includes high and low density simultaneously except for consecutive L/S pattern. Then it’s quite important to develop a technique to make various size or coverage patterns all at once. In this study, a small feature pattern was experimentally formed on master template with dose modulation technique. This technique makes it possible to apply the appropriate exposure dose for each pattern size. As a result, we succeed to improve the performance of finer patterning in bright field area. These results show that the performance of current EB lithography process have a potential to fabricate NIL template.
纳米压印光刻(NIL)技术作为22纳米及以上集成电路的下一代半导体制造技术正受到关注。NIL是利用从主模板复制而来的模板的非放大光刻技术。另一方面,主模板目前是由电子束(EB)光刻技术制造的[1]。在不久的将来,主模板将需要小于15nm的更精细的图案,EB数据量将呈指数级增长。因此,我们面临着一个艰巨的挑战。需要更高分辨率的EB掩模写入器和高性能的制造工艺。在之前的研究中,我们研究了光掩模制造工艺的潜力,并利用VSB(可变形状光束)型EB掩模书写器和化学放大抗蚀剂在模板上实现了15.5nm的线和空间(L/S)图案。相反,我们发现后向散射造成的对比度损失会降低精细图案的性能。在半导体器件制造中,除了连续的L/S模式外,还必须同时制造包括高密度和低密度的复杂模式。然后,开发一种同时制作各种尺寸或覆盖模式的技术是非常重要的。本研究利用剂量调制技术在主模板上实验形成了一个小的特征图案。这种技术使得对每种图案尺寸应用适当的暴露剂量成为可能。因此,我们成功地提高了在明亮区域的精细图案的性能。这些结果表明,现有的电子束光刻工艺具有制作零模板的潜力。
{"title":"Improvement of sub-20nm pattern quality with dose modulation technique for NIL template production","authors":"Keisuke Yagawa, Kunihiro Ugajin, M. Suenaga, S. Kanamitsu, T. Motokawa, Kazuki Hagihara, Yukiyasu Arisawa, S. Kobayashi, M. Saito, Masamitsu Ito","doi":"10.1117/12.2218809","DOIUrl":"https://doi.org/10.1117/12.2218809","url":null,"abstract":"Nanoimprint lithography (NIL) technology is in the spotlight as a next-generation semiconductor manufacturing technique for integrated circuits at 22 nm and beyond. NIL is the unmagnified lithography technique using template which is replicated from master templates. On the other hand, master templates are currently fabricated by electron-beam (EB) lithography[1]. In near future, finer patterns less than 15nm will be required on master template and EB data volume increases exponentially. So, we confront with a difficult challenge. A higher resolution EB mask writer and a high performance fabrication process will be required. In our previous study, we investigated a potential of photomask fabrication process for finer patterning and achieved 15.5nm line and space (L/S) pattern on template by using VSB (Variable Shaped Beam) type EB mask writer and chemically amplified resist. In contrast, we found that a contrast loss by backscattering decreases the performance of finer patterning. For semiconductor devices manufacturing, we must fabricate complicated patterns which includes high and low density simultaneously except for consecutive L/S pattern. Then it’s quite important to develop a technique to make various size or coverage patterns all at once. In this study, a small feature pattern was experimentally formed on master template with dose modulation technique. This technique makes it possible to apply the appropriate exposure dose for each pattern size. As a result, we succeed to improve the performance of finer patterning in bright field area. These results show that the performance of current EB lithography process have a potential to fabricate NIL template.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"35 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114548241","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Improved cost-effectiveness of the block co-polymer anneal process for DSA 提高了块段共聚物DSA退火工艺的成本效益
Pub Date : 2016-04-01 DOI: 10.1117/12.2220043
H. Pathangi, M. Stokhof, W. Knaepen, Varun Vaid, A. Mallik, B. T. Chan, N. Vandenbroeck, J. Maes, R. Gronheid
This manuscript first presents a cost model to compare the cost of ownership of DSA and SAQP for a typical front end of line (FEoL) line patterning exercise. Then, we proceed to a feasibility study of using a vertical furnace to batch anneal the block co-polymer for DSA applications. We show that the defect performance of such a batch anneal process is comparable to the process of record anneal methods. This helps in increasing the cost benefit for DSA compared to the conventional multiple patterning approaches.
本文首先提出了一个成本模型,以比较典型的前端线(FEoL)线模式练习的DSA和SAQP的拥有成本。然后,我们进行了可行性研究,利用垂直炉批量退火块段共聚物的DSA应用。我们证明了这种批量退火工艺的缺陷性能与记录退火方法的过程相当。与传统的多模式方法相比,这有助于提高DSA的成本效益。
{"title":"Improved cost-effectiveness of the block co-polymer anneal process for DSA","authors":"H. Pathangi, M. Stokhof, W. Knaepen, Varun Vaid, A. Mallik, B. T. Chan, N. Vandenbroeck, J. Maes, R. Gronheid","doi":"10.1117/12.2220043","DOIUrl":"https://doi.org/10.1117/12.2220043","url":null,"abstract":"This manuscript first presents a cost model to compare the cost of ownership of DSA and SAQP for a typical front end of line (FEoL) line patterning exercise. Then, we proceed to a feasibility study of using a vertical furnace to batch anneal the block co-polymer for DSA applications. We show that the defect performance of such a batch anneal process is comparable to the process of record anneal methods. This helps in increasing the cost benefit for DSA compared to the conventional multiple patterning approaches.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"2012 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114748913","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Directed self-assembly of Si-containing and topcoat free block copolymer 含硅和无面漆嵌段共聚物的定向自组装
Pub Date : 2016-04-01 DOI: 10.1117/12.2218243
T. Matsumiya, Takehiro Seshimo, T. Kurosawa, H. Yamano, K. Miyagi, T. Yamada, K. Ohmori
Directed self-assembly (DSA) of block copolymers (BCPs) with conventional lithography is being thought as one of the potential patterning solution for future generation devices manufacturing. New BCP platform is required to obtain resolution below 10nm half pitch (HP), better roughness, and defect characteristics than PS-b-PMMA. In this study, we will introduce the newly developed Si-containing high chi BCP which can apply perpendicular lamellar orientation with topcoat free, mild thermal annealing under nitrogen process conditions. It will be also shown in experimental results of graphoepitaxy demonstration for L/S multiplication using new high chi BCP.
嵌段共聚物(bcp)的定向自组装(DSA)与传统光刻技术被认为是未来一代器件制造的潜在解决方案之一。新的BCP平台需要获得低于10nm半间距(HP)的分辨率,比PS-b-PMMA更好的粗糙度和缺陷特征。在本研究中,我们将介绍新开发的含硅高chi BCP,它可以在氮工艺条件下进行垂直层状取向,无面漆,温和的热退火。利用新型高chi BCP进行L/S倍增的石墨外延演示的实验结果也证明了这一点。
{"title":"Directed self-assembly of Si-containing and topcoat free block copolymer","authors":"T. Matsumiya, Takehiro Seshimo, T. Kurosawa, H. Yamano, K. Miyagi, T. Yamada, K. Ohmori","doi":"10.1117/12.2218243","DOIUrl":"https://doi.org/10.1117/12.2218243","url":null,"abstract":"Directed self-assembly (DSA) of block copolymers (BCPs) with conventional lithography is being thought as one of the potential patterning solution for future generation devices manufacturing. New BCP platform is required to obtain resolution below 10nm half pitch (HP), better roughness, and defect characteristics than PS-b-PMMA. In this study, we will introduce the newly developed Si-containing high chi BCP which can apply perpendicular lamellar orientation with topcoat free, mild thermal annealing under nitrogen process conditions. It will be also shown in experimental results of graphoepitaxy demonstration for L/S multiplication using new high chi BCP.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"36 2","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114013015","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Nanoimprint lithography using disposable biomass template 纳米压印采用一次性生物质模板
Pub Date : 2016-04-01 DOI: 10.1117/12.2217483
M. Hanabata, S. Takei, Kigen Sugahara, S. Nakajima, Naoto Sugino, T. Kameda, J. Fukushima, Y. Matsumoto, A. Sekiguchi
A novel nanoimprint lithography process using disposable biomass template having gas permeability was investigated. It was found that a disposable biomass template derived from cellulose materials shows an excellent gas permeability and decreases transcriptional defects in conventional templates such as quartz, PMDS, DLC that have no gas permeability. We believe that outgasses from imprinted materials are easily removed through the template. The approach to use a cellulose for template material is suitable as the next generation of clean separation technology. It is expected to be one of the defect-less thermal nanoimprint lithographic technologies. It is also expected that volatile materials and solvent including materials become available that often create defects and peelings in conventional temples that have no gas permeability.
研究了一种利用具有透气性的一次性生物质模板进行纳米压印的新工艺。研究发现,纤维素材料制备的一次性生物质模板具有良好的透气性,降低了石英、PMDS、DLC等无透气性模板的转录缺陷。我们相信,从压印材料的气体很容易通过模板去除。以纤维素为模板材料的方法适合作为下一代清洁分离技术。它有望成为无缺陷的热纳米压印光刻技术之一。还期望挥发性材料和溶剂包括材料,这些材料通常会在没有透气性的传统寺庙中产生缺陷和剥落。
{"title":"Nanoimprint lithography using disposable biomass template","authors":"M. Hanabata, S. Takei, Kigen Sugahara, S. Nakajima, Naoto Sugino, T. Kameda, J. Fukushima, Y. Matsumoto, A. Sekiguchi","doi":"10.1117/12.2217483","DOIUrl":"https://doi.org/10.1117/12.2217483","url":null,"abstract":"A novel nanoimprint lithography process using disposable biomass template having gas permeability was investigated. It was found that a disposable biomass template derived from cellulose materials shows an excellent gas permeability and decreases transcriptional defects in conventional templates such as quartz, PMDS, DLC that have no gas permeability. We believe that outgasses from imprinted materials are easily removed through the template. The approach to use a cellulose for template material is suitable as the next generation of clean separation technology. It is expected to be one of the defect-less thermal nanoimprint lithographic technologies. It is also expected that volatile materials and solvent including materials become available that often create defects and peelings in conventional temples that have no gas permeability.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"28 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123499354","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Self-aligned quadruple patterning integration using spacer on spacer pitch splitting at the resist level for sub-32nm pitch applications 自对准四重模式集成使用间隔上间隔间距分裂在抗蚀剂水平为32nm以下间距应用
Pub Date : 2016-04-01 DOI: 10.1117/12.2219321
Angélique Raley, S. Thibaut, N. Mohanty, Kal Subhadeep, Satoru Nakamura, Akiteru Ko, D. O'meara, K. Tapily, S. Consiglio, P. Biolsi
Multiple patterning integrations for sub 193nm lithographic resolution are becoming increasingly creative in pursuit of cost reduction and achieving desired critical dimension. Implementing these schemes into production can be a challenge. Aimed at reducing cost associated with multiple patterning for the 10nm node and beyond, we will present a self-aligned quadruple patterning strategy which uses 193nm immersion lithography resist pattern as a first mandrel and a spacer on spacer integration to enable a final pitch of 30nm. This option could be implemented for front end or back end critical layers such as Fin and Mx. Investigation of combinations of low temperature ALD films such as TiO, Al2O3 and SiO2 will be reviewed to determine the best candidates to meet the required selectivities, LER/LWR and CDs.
在追求降低成本和实现所需的关键尺寸方面,用于193nm以下光刻分辨率的多种图案集成正变得越来越有创造性。在生产中实现这些方案可能是一个挑战。为了降低10nm及以上节点的多重图案相关成本,我们将提出一种自对准四倍图案策略,该策略使用193nm浸没光刻抗蚀剂图案作为第一芯轴,并将间隔片集成在间隔片上,以实现30nm的最终间距。此选项可用于前端或后端关键层,如Fin和Mx。对低温ALD薄膜(如TiO, Al2O3和SiO2)组合的研究将进行回顾,以确定满足要求的选择性,LER/LWR和cd的最佳候选材料。
{"title":"Self-aligned quadruple patterning integration using spacer on spacer pitch splitting at the resist level for sub-32nm pitch applications","authors":"Angélique Raley, S. Thibaut, N. Mohanty, Kal Subhadeep, Satoru Nakamura, Akiteru Ko, D. O'meara, K. Tapily, S. Consiglio, P. Biolsi","doi":"10.1117/12.2219321","DOIUrl":"https://doi.org/10.1117/12.2219321","url":null,"abstract":"Multiple patterning integrations for sub 193nm lithographic resolution are becoming increasingly creative in pursuit of cost reduction and achieving desired critical dimension. Implementing these schemes into production can be a challenge. Aimed at reducing cost associated with multiple patterning for the 10nm node and beyond, we will present a self-aligned quadruple patterning strategy which uses 193nm immersion lithography resist pattern as a first mandrel and a spacer on spacer integration to enable a final pitch of 30nm. This option could be implemented for front end or back end critical layers such as Fin and Mx. Investigation of combinations of low temperature ALD films such as TiO, Al2O3 and SiO2 will be reviewed to determine the best candidates to meet the required selectivities, LER/LWR and CDs.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"43 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133699128","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
期刊
SPIE Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1