首页 > 最新文献

SPIE Advanced Lithography最新文献

英文 中文
Chemoepitaxial guiding underlayers for density asymmetric and energetically asymmetric diblock copolymers 密度不对称和能量不对称二嵌段共聚物的化学外延导向衬底
Pub Date : 2016-04-01 DOI: 10.1117/12.2219255
Benjamin D. Nation, P. Ludovice, C. Henderson
Block copolymers, polymers composed of two or more homopolymers covalently bonded together, are currently being investigated as a method to extend optical lithography due to their ability to microphase separate on small size scales. In order to drive down the size that these BCPs phase separate, the BCPs with larger Flory-Huggin's χparameter needs to be found. Typically these BCPs are composed of more dissimilar homopolymers. However, changing these interactions also changes how BCPs interact with their guiding underlayers. In this paper, several block copolymers are simulated annealing on chemoepitaxial guiding underlayers using a coarse-grained molecular dynamics model in order to explore the effect that either energetic asymmetry or density asymmetry in the BCP have on the pattern registration. It is found that energetic asymmetry in BCPs causes one of the blocks to desire to skin, which shifts the composition of the background region that leads to well aligned vertical lamellae formation. It is hypothesized that moderate footing and undercutting at the underlayer or slight skinning at the free surface can increase the kinetics of defect annihilation by decreasing the distance that bridges must form. The density asymmetric BCPs simulated in this paper have different mechanical properties which lead to straighter sidewalls in the BCP film and potentially lead to better pattern registration. It is hypothesized that altering the compressibility of the blocks can alter equilibrium defectivity.
嵌段共聚物是由两种或两种以上共聚物共价结合而成的聚合物,由于其在小尺寸尺度上的微相分离能力,目前正被研究作为一种扩展光学光刻技术的方法。为了减小这些bcp相分离的大小,需要找到具有较大的Flory-Huggin χ参数的bcp。通常,这些bcp由更多不同的均聚物组成。然而,改变这些相互作用也会改变bcp与其指导底层的相互作用方式。本文采用粗粒度分子动力学模型,模拟了几种嵌段共聚物在化学外延导向衬底上的退火,探讨了BCP中能量不对称或密度不对称对图案配准的影响。研究发现,bcp中的能量不对称导致其中一个块想要剥皮,这改变了背景区域的组成,从而导致垂直片层的形成。据推测,下层的适度立基和下切或自由表面的轻微剥皮可以通过减少桥必须形成的距离来增加缺陷湮灭动力学。本文模拟的密度不对称BCP具有不同的力学性能,这使得BCP膜的侧壁更直,并且可能导致更好的图案配准。据推测,改变块体的可压缩性可以改变平衡缺陷。
{"title":"Chemoepitaxial guiding underlayers for density asymmetric and energetically asymmetric diblock copolymers","authors":"Benjamin D. Nation, P. Ludovice, C. Henderson","doi":"10.1117/12.2219255","DOIUrl":"https://doi.org/10.1117/12.2219255","url":null,"abstract":"Block copolymers, polymers composed of two or more homopolymers covalently bonded together, are currently being investigated as a method to extend optical lithography due to their ability to microphase separate on small size scales. In order to drive down the size that these BCPs phase separate, the BCPs with larger Flory-Huggin's χparameter needs to be found. Typically these BCPs are composed of more dissimilar homopolymers. However, changing these interactions also changes how BCPs interact with their guiding underlayers. In this paper, several block copolymers are simulated annealing on chemoepitaxial guiding underlayers using a coarse-grained molecular dynamics model in order to explore the effect that either energetic asymmetry or density asymmetry in the BCP have on the pattern registration. It is found that energetic asymmetry in BCPs causes one of the blocks to desire to skin, which shifts the composition of the background region that leads to well aligned vertical lamellae formation. It is hypothesized that moderate footing and undercutting at the underlayer or slight skinning at the free surface can increase the kinetics of defect annihilation by decreasing the distance that bridges must form. The density asymmetric BCPs simulated in this paper have different mechanical properties which lead to straighter sidewalls in the BCP film and potentially lead to better pattern registration. It is hypothesized that altering the compressibility of the blocks can alter equilibrium defectivity.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"68 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121139840","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Numerical placement analysis in hole multiplication patterns for directed self-assembly 定向自组装孔倍增模式的数值布局分析
Pub Date : 2016-04-01 DOI: 10.1117/12.2218596
K. Yamamoto, T. Nakano, M. Muramatsu, H. Genjima, T. Tomita, K. Matsuzaki, T. Kitano
Placement of cylinders in hole multiplication patterns for directed self-assembly is the topic of this computational study. A hole doublet process applying a corner rounded rectangle guide is the focus of this work. Placements including morphology fluctuation can be analyzed by dissipative particle dynamics simulation. When the surface of guides and underlayers are modified from strong polymethyl methacrylate (PMMA) attractive to weak PMMA attractive, two PMMA cylinders can be contacted at the underlayer. Even when the PMMA domain had a separated morphology, hole placement errors (HPE) were similar to those with connected domains which occurred in the strong PMMA affine case. In general, HPE in longitudinal guide direction was larger than in the shorter direction. It is interesting to note that HPE in the longer direction was decreased by increasing the guide size in shorter direction. Cylinder tops likely fluctuate; cylinder middles may fluctuate as well in some cases. Means for HPE reduction were also tested computationally: reducing the guide thickness and employing dimpled structures. Decreasing guide thickness was effective for reducing HPE; however, guide thicknesses that were too thin prevented PMMA domains from forming vertical cylinders. Dimpled structures also reduced HPE. The depth of the dimple had a little influence on the distance of two holes when the guide structure was fitted with hexagonal packing for the block co-polymers.
定向自组装的孔倍增模式中圆柱体的放置是本计算研究的主题。采用圆角矩形导向器的双孔工艺是本工作的重点。通过耗散粒子动力学模拟可以分析包含形态波动的位置。当导轨和衬底表面由强聚甲基丙烯酸甲酯(PMMA)吸引改性为弱聚甲基丙烯酸甲酯(PMMA)吸引时,衬底可以接触两个PMMA圆柱体。即使PMMA结构域具有分离的形态,空穴放置误差(HPE)与强PMMA仿射情况下发生的连接结构域相似。总体而言,纵向导向的HPE大于短导向的HPE。有趣的是,在较短的方向上,增加导叶尺寸会降低较长方向上的HPE。汽缸顶部可能会波动;在某些情况下,气缸的中间位置也可能会波动。降低HPE的方法也进行了计算测试:减少波导厚度和采用韧窝结构。减小导叶厚度可有效降低HPE;然而,太薄的导向厚度会阻碍PMMA畴形成垂直圆柱体。凹陷结构也会降低HPE。当嵌段共聚物采用六角形填料时,凹槽深度对两孔间距影响不大。
{"title":"Numerical placement analysis in hole multiplication patterns for directed self-assembly","authors":"K. Yamamoto, T. Nakano, M. Muramatsu, H. Genjima, T. Tomita, K. Matsuzaki, T. Kitano","doi":"10.1117/12.2218596","DOIUrl":"https://doi.org/10.1117/12.2218596","url":null,"abstract":"Placement of cylinders in hole multiplication patterns for directed self-assembly is the topic of this computational study. A hole doublet process applying a corner rounded rectangle guide is the focus of this work. Placements including morphology fluctuation can be analyzed by dissipative particle dynamics simulation. When the surface of guides and underlayers are modified from strong polymethyl methacrylate (PMMA) attractive to weak PMMA attractive, two PMMA cylinders can be contacted at the underlayer. Even when the PMMA domain had a separated morphology, hole placement errors (HPE) were similar to those with connected domains which occurred in the strong PMMA affine case. In general, HPE in longitudinal guide direction was larger than in the shorter direction. It is interesting to note that HPE in the longer direction was decreased by increasing the guide size in shorter direction. Cylinder tops likely fluctuate; cylinder middles may fluctuate as well in some cases. Means for HPE reduction were also tested computationally: reducing the guide thickness and employing dimpled structures. Decreasing guide thickness was effective for reducing HPE; however, guide thicknesses that were too thin prevented PMMA domains from forming vertical cylinders. Dimpled structures also reduced HPE. The depth of the dimple had a little influence on the distance of two holes when the guide structure was fitted with hexagonal packing for the block co-polymers.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"35 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134424174","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Resist roughness improvement by a chemical shrink process 通过化学收缩工艺来抵抗粗糙度的改善
Pub Date : 2016-04-01 DOI: 10.1117/12.2218402
Tatsuro Nagahara, Takashi Sekito, Y. Matsuura
In this paper, we will discuss the improvement of resist pattern roughness on NTD (Negative Tone Development) resist by chemical shrink process. Chemical shrink process is one of the most practical approaches to achieve small feature size CH (Contact Hole) or trench with ArF immersion lithography. We found that this shrink material has not only general benefits of shrink process like DOF (Depth of Focus) margin improvement, but also demonstrates a pattern smoothing effect through observation of the surface of shrink layer using SPM (Scanning Probe Microscope). Additionally, an improvement of LWR (Line Width Roughness) over 16% and an improvement of LCDU (Local Critical Dimension Uniformity) around 60% were observed.
本文讨论了用化学收缩法改善NTD(负色调显影)抗蚀剂的抗蚀图案粗糙度。化学收缩工艺是ArF浸没光刻实现小特征尺寸接触孔或沟槽的最实用的方法之一。我们发现这种收缩材料不仅具有收缩工艺的一般优点,如DOF(焦深)余量的提高,而且通过扫描探针显微镜观察收缩层表面显示出图案平滑效果。此外,观察到LWR(线宽粗糙度)改善超过16%,LCDU(局部临界尺寸均匀性)改善约60%。
{"title":"Resist roughness improvement by a chemical shrink process","authors":"Tatsuro Nagahara, Takashi Sekito, Y. Matsuura","doi":"10.1117/12.2218402","DOIUrl":"https://doi.org/10.1117/12.2218402","url":null,"abstract":"In this paper, we will discuss the improvement of resist pattern roughness on NTD (Negative Tone Development) resist by chemical shrink process. Chemical shrink process is one of the most practical approaches to achieve small feature size CH (Contact Hole) or trench with ArF immersion lithography. We found that this shrink material has not only general benefits of shrink process like DOF (Depth of Focus) margin improvement, but also demonstrates a pattern smoothing effect through observation of the surface of shrink layer using SPM (Scanning Probe Microscope). Additionally, an improvement of LWR (Line Width Roughness) over 16% and an improvement of LCDU (Local Critical Dimension Uniformity) around 60% were observed.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"4 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115294191","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Deep-UV interference lithography combined with masked contact lithography for pixel wiregrid patterns 深紫外干涉光刻与掩模接触光刻相结合,用于像素线网格图案
Pub Date : 2016-04-01 DOI: 10.1117/12.2219484
D. Lombardo, P. Shah, Pengfei Guo, A. Sarangan
Pixelated wiregrids are of great interest in polarimetric imagers, but there are no straightforward methods available for combining the uniform exposures of laser interference with a masking system to achieve pixels at different rotational angles. In this work we demonstrate a 266nm deep-UV interference lithography combined with a traditional i-line contact lithography to create such pixels. Aluminum wiregrids are first made, following by etching to create the pixels, and then a planarizing molybdenum film is used before patterning subsequent pixel arrays. The etch contrast between the molybdenum and the aluminum enables the release of the planarizing layer.
像素化线网在偏振成像仪中有很大的兴趣,但是没有直接的方法可以将激光干涉的均匀曝光与掩蔽系统相结合,以实现不同旋转角度的像素。在这项工作中,我们展示了一种266nm深紫外干涉光刻技术,结合传统的i线接触光刻技术来创建这样的像素。首先制作铝线网,然后蚀刻以创建像素,然后在绘制后续像素阵列之前使用平面化钼膜。钼和铝之间的蚀刻对比使平化层得以释放。
{"title":"Deep-UV interference lithography combined with masked contact lithography for pixel wiregrid patterns","authors":"D. Lombardo, P. Shah, Pengfei Guo, A. Sarangan","doi":"10.1117/12.2219484","DOIUrl":"https://doi.org/10.1117/12.2219484","url":null,"abstract":"Pixelated wiregrids are of great interest in polarimetric imagers, but there are no straightforward methods available for combining the uniform exposures of laser interference with a masking system to achieve pixels at different rotational angles. In this work we demonstrate a 266nm deep-UV interference lithography combined with a traditional i-line contact lithography to create such pixels. Aluminum wiregrids are first made, following by etching to create the pixels, and then a planarizing molybdenum film is used before patterning subsequent pixel arrays. The etch contrast between the molybdenum and the aluminum enables the release of the planarizing layer.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"23 6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125846096","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Nanoscale patterning in ambient conditions using liquid electromigration 在环境条件下使用液体电迁移的纳米尺度图案
Pub Date : 2016-04-01 DOI: 10.1117/12.2219024
S. Talukder, Praveen Kumar, R. Pratap
Electric field can induce long range flow in liquid materials. This phenomenon is known as liquid electromigration. In particular case of Cr thin film deposited on an insulating substrate, application of high electric-field between two point electrodes results in liquefaction and subsequent flow of the liquefied material in a radially symmetric fashion away from the cathode. This electric field driven material transport phenomenon has been used for a new patterning technique, named electrolithography. A negatively biased scanning probe is used to etch a thin Cr film according to a desired pattern. Then the pattern is transferred to new materials using a polymer layer below the metal film. Electrolithography does not need any UV or e-beam source, and can be performed in ambient condition. We have achieved pattern resolutions of 9 nm on the polymer and 40 nm on transferring the pattern to other materials. In this work, with the help of electrolithography, we have patterned large areas using vector scan technique. This improves throughput of the process by a significant order.
电场可以诱导液体物质的长距离流动。这种现象被称为液体电迁移。在特殊情况下,镀在绝缘衬底上的铬薄膜,在两个点电极之间施加高电场导致液化和随后的液化物质以径向对称的方式从阴极流出。这种电场驱动的物质输运现象已被用于一种新的图像化技术,称为电光刻。负偏压扫描探头用于根据所需图案蚀刻薄Cr膜。然后使用金属薄膜下面的聚合物层将图案转移到新材料上。电光刻不需要任何紫外线或电子束源,可以在环境条件下进行。我们已经在聚合物上实现了9纳米的图案分辨率,在将图案转移到其他材料上实现了40纳米的图案分辨率。在这项工作中,在电光刻技术的帮助下,我们使用矢量扫描技术绘制了大面积的图案。这大大提高了流程的吞吐量。
{"title":"Nanoscale patterning in ambient conditions using liquid electromigration","authors":"S. Talukder, Praveen Kumar, R. Pratap","doi":"10.1117/12.2219024","DOIUrl":"https://doi.org/10.1117/12.2219024","url":null,"abstract":"Electric field can induce long range flow in liquid materials. This phenomenon is known as liquid electromigration. In particular case of Cr thin film deposited on an insulating substrate, application of high electric-field between two point electrodes results in liquefaction and subsequent flow of the liquefied material in a radially symmetric fashion away from the cathode. This electric field driven material transport phenomenon has been used for a new patterning technique, named electrolithography. A negatively biased scanning probe is used to etch a thin Cr film according to a desired pattern. Then the pattern is transferred to new materials using a polymer layer below the metal film. Electrolithography does not need any UV or e-beam source, and can be performed in ambient condition. We have achieved pattern resolutions of 9 nm on the polymer and 40 nm on transferring the pattern to other materials. In this work, with the help of electrolithography, we have patterned large areas using vector scan technique. This improves throughput of the process by a significant order.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"39 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124444783","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Quantification of the resist dissolution process: an in situ analysis using high speed atomic force microscopy 抗蚀剂溶解过程的定量:高速原子力显微镜原位分析
Pub Date : 2016-03-31 DOI: 10.1117/12.2219078
J. Santillan, M. Shichiri, T. Itani
This work focuses on the application of a high speed atomic force microscope (HS-AFM) for the in situ visualization / quantification of the resist dissolution process. This technique, as reported in the past, has provided useful pointers on the formation of resist patterns during dissolution. This paper discusses about an investigation made on the quantification of what we refer to as “dissolution unit size” or the basic units of patterning material dissolution. This was done through the establishment of an originally developed analysis method which extracts the difference between two succeeding temporal states of the material film surface (images) to indicate the amount of change occurring in the material film at a specific span of time. Preliminary experiments with actual patterning materials were done using a positive-tone EUV model resist composed only of polyhydroxystyrene (PHS)-based polymer with a molecular weight of 2,500 and a polydispersity index of 1.2. In the absence of a protecting group, the material was utilized at a 50nm film thickness with post application bake of 90°C/60s. The resulting film is soluble in the alkali-based developer even without exposure. Results have shown that the dissolution components (dissolution unit size) of the PHS-based material are not of fixed size. Instead, it was found that aside from one constantly dissolving unit size, another, much larger dissolution unit size trend also occurs during material dissolution. The presence of this larger dissolution unit size suggests an occurrence of "polymer clustering". Such polymer clustering was not significantly present during the initial stages of dissolution (near the original film surface) but becomes more persistently obvious after the dissolution process reaches a certain film thickness below the initial surface.
本文主要研究了高速原子力显微镜(HS-AFM)在抗蚀剂溶解过程中的原位可视化和定量应用。正如过去所报道的那样,这种技术为在溶解过程中形成抗蚀剂图案提供了有用的指导。本文讨论了一项关于我们所说的“溶解单位尺寸”或图案材料溶解基本单位的量化研究。这是通过建立一种原始开发的分析方法来实现的,该方法提取材料薄膜表面(图像)的两个连续时间状态之间的差异,以指示在特定时间跨度内材料薄膜中发生的变化量。用分子量为2500、多分散指数为1.2的聚羟基苯乙烯(PHS)基聚合物组成的正色调EUV模型抗蚀剂进行了初步实验。在没有保护组的情况下,使用50nm薄膜厚度的材料,涂后温度为90°C/60s。所得到的胶片即使不曝光也可溶于碱基显影剂。结果表明,phs基材料的溶解组分(溶解单位尺寸)不是固定尺寸的。相反,我们发现除了一个不断溶解的单位尺寸外,在材料溶解过程中还会出现另一个更大的溶解单位尺寸趋势。这种较大的溶解单位尺寸的存在表明发生了“聚合物聚集”。这种聚合物聚集在溶解的初始阶段(靠近原始膜表面)并不明显,但在溶解过程达到初始表面以下的一定膜厚后,这种聚合物聚集变得更加明显。
{"title":"Quantification of the resist dissolution process: an in situ analysis using high speed atomic force microscopy","authors":"J. Santillan, M. Shichiri, T. Itani","doi":"10.1117/12.2219078","DOIUrl":"https://doi.org/10.1117/12.2219078","url":null,"abstract":"This work focuses on the application of a high speed atomic force microscope (HS-AFM) for the in situ visualization / quantification of the resist dissolution process. This technique, as reported in the past, has provided useful pointers on the formation of resist patterns during dissolution. This paper discusses about an investigation made on the quantification of what we refer to as “dissolution unit size” or the basic units of patterning material dissolution. This was done through the establishment of an originally developed analysis method which extracts the difference between two succeeding temporal states of the material film surface (images) to indicate the amount of change occurring in the material film at a specific span of time. Preliminary experiments with actual patterning materials were done using a positive-tone EUV model resist composed only of polyhydroxystyrene (PHS)-based polymer with a molecular weight of 2,500 and a polydispersity index of 1.2. In the absence of a protecting group, the material was utilized at a 50nm film thickness with post application bake of 90°C/60s. The resulting film is soluble in the alkali-based developer even without exposure. Results have shown that the dissolution components (dissolution unit size) of the PHS-based material are not of fixed size. Instead, it was found that aside from one constantly dissolving unit size, another, much larger dissolution unit size trend also occurs during material dissolution. The presence of this larger dissolution unit size suggests an occurrence of \"polymer clustering\". Such polymer clustering was not significantly present during the initial stages of dissolution (near the original film surface) but becomes more persistently obvious after the dissolution process reaches a certain film thickness below the initial surface.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"58 31 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-31","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121500695","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Advanced in-line metrology strategy for self-aligned quadruple patterning 先进的自对准四重模式在线计量策略
Pub Date : 2016-03-30 DOI: 10.1117/12.2220601
R. Chao, M. Breton, B. L'herron, B. Mendoza, R. Muthinti, F. Nelson, A. A. de la peña, Fee li Le, E. Miller, S. Sieg, J. Demarest, P. Gin, M. Wormington, A. Cepler, C. Bozdog, M. Sendelbach, S. Wolfling, Tom Cardinal, S. Kanakasabapathy, J. Gaudiello, N. Felix
Self-Aligned Quadruple Patterning (SAQP) is a promising technique extending the 193-nm lithography to manufacture structures that are 20nm half pitch or smaller. This process adopts multiple sidewall spacer image transfers to split a rather relaxed design into a quarter of its original pitch. Due to the number of multiple process steps required for the pitch splitting in SAQP, the process error propagates through each deposition and etch, and accumulates at the final step into structure variations, such as pitch walk and poor critical dimension uniformity (CDU). They can further affect the downstream processes and lower the yield. The impact of this error propagation becomes significant for advanced technology nodes when the process specifications of device design CD requirements are at nanometer scale. Therefore, semiconductor manufacturing demands strict in-line process control to ensure a high process yield and improved performance, which must rely on precise measurements to enable corrective actions and quick decision making for process development. This work aims to provide a comprehensive metrology solution for SAQP. During SAQP process development, the challenges in conventional in-line metrology techniques start to surface. For instance, critical-dimension scanning electron microscopy (CDSEM) is commonly the first choice for CD and pitch variation control. However, it is found that the high aspect ratio at mandrel level processes and the trench variations after etch prevent the tool from extracting the true bottom edges of the structure in order to report the position shift. On the other hand, while the complex shape and variations can be captured with scatterometry, or optical CD (OCD), the asymmetric features, such as pitch walk, show low sensitivity with strong correlations in scatterometry. X-ray diffraction (XRD) is known to provide useful direct measurements of the pitch walk in crystalline arrays, yet the data analysis is influenced by the incoming geometry and must be used carefully. A successful implementation of SAQP process control for yield improvement requires the metrology issues to be addressed. By optimizing the measurement parameters and beam configurations, CDSEM measurements distinguish each of the spaces corresponding to the upstream mandrel processes and report their CDs separately to feed back to the process team for the next development cycle. We also utilize the unique capability in scatterometry to measure the structure details in-line and implement a “predictive” process control, which shows a good correlation between the “predictive” measurement and the cross-sections from our design of experiments (DOE). The ability to measure the pitch walk in scatterometry was also demonstrated. This work also explored the frontier of in-line XRD capability by enabling an automatic RSM fitting on tool to output pitch walk values. With these advances in metrology development, we are able to demonstrate the impacts of in-line m
自对准四重图(SAQP)是一种很有前途的技术,可以将193nm光刻扩展到20nm半间距或更小的结构。这个过程采用多个侧壁间隔图像传输,将一个相当轻松的设计分割成其原始间距的四分之一。由于SAQP中间距分裂需要多个工艺步骤,因此工艺误差会在每个沉积和蚀刻过程中传播,并在最后一步累积成结构变化,例如间距偏移和临界尺寸均匀性差(CDU)。它们会进一步影响下游工序,降低产量。当器件设计CD要求的工艺规范达到纳米尺度时,这种误差传播对先进技术节点的影响变得显著。因此,半导体制造需要严格的在线工艺控制,以确保高工艺良率和改进的性能,这必须依赖于精确的测量,以实现纠正措施和快速决策的工艺开发。本工作旨在为SAQP提供一个全面的计量解决方案。在SAQP工艺开发过程中,传统在线计量技术的挑战开始浮出水面。例如,临界尺寸扫描电子显微镜(CDSEM)通常是CD和音高变化控制的首选。然而,发现在心轴水平过程中的高纵横比和蚀刻后的沟槽变化使工具无法提取结构的真实底边以报告位置移动。另一方面,虽然散射测量或光学CD (OCD)可以捕获复杂的形状和变化,但不对称特征,如俯仰角行走,在散射测量中表现出较低的灵敏度和强相关性。众所周知,x射线衍射(XRD)可以直接测量晶体阵列中的螺距,但数据分析受到入射几何形状的影响,必须谨慎使用。为了提高良率,SAQP过程控制的成功实施需要解决计量问题。通过优化测量参数和光束配置,CDSEM测量可以区分与上游芯轴工艺相对应的每个空间,并分别报告其cd,以反馈给工艺团队以进行下一个开发周期。我们还利用散射测量的独特能力在线测量结构细节,并实现“预测”过程控制,这表明“预测”测量与我们的实验设计(DOE)的横截面之间具有良好的相关性。在散射测量法中测量投球行走的能力也得到了证明。这项工作还通过在工具上实现自动RSM拟合来输出螺距偏移值,探索了在线XRD能力的前沿。随着计量学发展的这些进步,我们能够展示在线监测在SAQP过程中的影响,缩短模式开发学习周期以提高产量。
{"title":"Advanced in-line metrology strategy for self-aligned quadruple patterning","authors":"R. Chao, M. Breton, B. L'herron, B. Mendoza, R. Muthinti, F. Nelson, A. A. de la peña, Fee li Le, E. Miller, S. Sieg, J. Demarest, P. Gin, M. Wormington, A. Cepler, C. Bozdog, M. Sendelbach, S. Wolfling, Tom Cardinal, S. Kanakasabapathy, J. Gaudiello, N. Felix","doi":"10.1117/12.2220601","DOIUrl":"https://doi.org/10.1117/12.2220601","url":null,"abstract":"Self-Aligned Quadruple Patterning (SAQP) is a promising technique extending the 193-nm lithography to manufacture structures that are 20nm half pitch or smaller. This process adopts multiple sidewall spacer image transfers to split a rather relaxed design into a quarter of its original pitch. Due to the number of multiple process steps required for the pitch splitting in SAQP, the process error propagates through each deposition and etch, and accumulates at the final step into structure variations, such as pitch walk and poor critical dimension uniformity (CDU). They can further affect the downstream processes and lower the yield. The impact of this error propagation becomes significant for advanced technology nodes when the process specifications of device design CD requirements are at nanometer scale. Therefore, semiconductor manufacturing demands strict in-line process control to ensure a high process yield and improved performance, which must rely on precise measurements to enable corrective actions and quick decision making for process development. This work aims to provide a comprehensive metrology solution for SAQP. During SAQP process development, the challenges in conventional in-line metrology techniques start to surface. For instance, critical-dimension scanning electron microscopy (CDSEM) is commonly the first choice for CD and pitch variation control. However, it is found that the high aspect ratio at mandrel level processes and the trench variations after etch prevent the tool from extracting the true bottom edges of the structure in order to report the position shift. On the other hand, while the complex shape and variations can be captured with scatterometry, or optical CD (OCD), the asymmetric features, such as pitch walk, show low sensitivity with strong correlations in scatterometry. X-ray diffraction (XRD) is known to provide useful direct measurements of the pitch walk in crystalline arrays, yet the data analysis is influenced by the incoming geometry and must be used carefully. A successful implementation of SAQP process control for yield improvement requires the metrology issues to be addressed. By optimizing the measurement parameters and beam configurations, CDSEM measurements distinguish each of the spaces corresponding to the upstream mandrel processes and report their CDs separately to feed back to the process team for the next development cycle. We also utilize the unique capability in scatterometry to measure the structure details in-line and implement a “predictive” process control, which shows a good correlation between the “predictive” measurement and the cross-sections from our design of experiments (DOE). The ability to measure the pitch walk in scatterometry was also demonstrated. This work also explored the frontier of in-line XRD capability by enabling an automatic RSM fitting on tool to output pitch walk values. With these advances in metrology development, we are able to demonstrate the impacts of in-line m","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"65 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114650176","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Advanced in-line optical metrology of sub-10nm structures for gate all around devices (GAA) 栅极环绕器件(GAA)亚10nm结构的先进在线光学测量
Pub Date : 2016-03-29 DOI: 10.1117/12.2220379
R. Muthinti, N. Loubet, R. Chao, J. Ott, M. Guillorn, N. Felix, J. Gaudiello, Parker Lund, A. Cepler, M. Sendelbach, Oded Cohen, S. Wolfling, C. Bozdog, M. Klare
Gate-all-around (GAA) nanowire (NW) devices have long been acknowledged as the ultimate device from an electrostatic scaling point of view. The GAA architecture offers improved short channel effect (SCE) immunity compared to single and double gate planar, FinFET, and trigate structures. One attractive proposal for making GAA devices involves the use of a multilayer fin-like structure consisting of layers of Si and SiGe. However, such structures pose various metrology challenges, both geometrical and material. Optical Scatterometry, also called optical critical dimension (OCD) is a fast, accurate and non-destructive in-line metrology technique well suited for GAA integration challenges. In this work, OCD is used as an enabler for the process development of nanowire devices, extending its abilities to learn new material and process aspects specific to this novel device integration. The specific metrology challenges from multiple key steps in the process flow are detailed, along with the corresponding OCD solutions and results. In addition, Low Energy X-Ray Fluorescence (LE-XRF) is applied to process steps before and after the removal of the SiGe layers in order to quantify the amount of Ge present at each step. These results are correlated to OCD measurements of the Ge content, demonstrating that both OCD and LE-XRF are sensitive to Ge content for these applications.
从静电标度的角度来看,栅极全能(GAA)纳米线(NW)器件一直被认为是终极器件。与单栅极和双栅极平面、FinFET和三极管结构相比,GAA结构提供了更好的短通道效应(SCE)抗扰度。制作GAA器件的一个有吸引力的建议涉及使用由Si层和SiGe层组成的多层鳍状结构。然而,这种结构在几何和材料方面都提出了各种计量挑战。光学散射测量,也称为光学临界尺寸(OCD),是一种快速、准确和无损的在线测量技术,非常适合GAA集成挑战。在这项工作中,OCD被用作纳米线器件工艺开发的推动者,扩展其学习新材料和工艺方面的能力,以特定于这种新型器件集成。详细介绍了工艺流程中多个关键步骤的具体计量挑战,以及相应的OCD解决方案和结果。此外,低能x射线荧光(LE-XRF)应用于去除SiGe层之前和之后的工艺步骤,以量化每个步骤中存在的Ge量。这些结果与OCD测量的Ge含量相关,表明OCD和LE-XRF对这些应用中的Ge含量都很敏感。
{"title":"Advanced in-line optical metrology of sub-10nm structures for gate all around devices (GAA)","authors":"R. Muthinti, N. Loubet, R. Chao, J. Ott, M. Guillorn, N. Felix, J. Gaudiello, Parker Lund, A. Cepler, M. Sendelbach, Oded Cohen, S. Wolfling, C. Bozdog, M. Klare","doi":"10.1117/12.2220379","DOIUrl":"https://doi.org/10.1117/12.2220379","url":null,"abstract":"Gate-all-around (GAA) nanowire (NW) devices have long been acknowledged as the ultimate device from an electrostatic scaling point of view. The GAA architecture offers improved short channel effect (SCE) immunity compared to single and double gate planar, FinFET, and trigate structures. One attractive proposal for making GAA devices involves the use of a multilayer fin-like structure consisting of layers of Si and SiGe. However, such structures pose various metrology challenges, both geometrical and material. Optical Scatterometry, also called optical critical dimension (OCD) is a fast, accurate and non-destructive in-line metrology technique well suited for GAA integration challenges. In this work, OCD is used as an enabler for the process development of nanowire devices, extending its abilities to learn new material and process aspects specific to this novel device integration. The specific metrology challenges from multiple key steps in the process flow are detailed, along with the corresponding OCD solutions and results. In addition, Low Energy X-Ray Fluorescence (LE-XRF) is applied to process steps before and after the removal of the SiGe layers in order to quantify the amount of Ge present at each step. These results are correlated to OCD measurements of the Ge content, demonstrating that both OCD and LE-XRF are sensitive to Ge content for these applications.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"16 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127138470","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Metal stack optimization for low-power and high-density for N7-N5 N7-N5低功耗高密度金属堆叠优化
Pub Date : 2016-03-28 DOI: 10.1117/12.2238928
P. Raghavan., F. Firouzi, L. Matti, P. Debacker, R. Baert, S. M. Y. Sherazi, D. Trivkovic, V. Gerousis, M. Dusa, J. Ryckaert, Z. Tokei, D. Verkest, G. McIntyre, K. Ronse
One of the key challenges while scaling logic down to N7 and N5 is the requirement of self-aligned multiple patterning for the metal stack. This comes with a large cost of the backend cost and therefore a careful stack optimization is required. Various layers in the stack have different purposes and therefore their choice of pitch and number of layers is critical. Furthermore, when in ultra scaled dimensions of N7 or N5, the number of patterning options are also much larger ranging from multiple LE, EUV to SADP/SAQP. The right choice of these are also needed patterning techniques that use a full grating of wires like SADP/SAQP techniques introduce high level of metal dummies into the design. This implies a large capacitance penalty to the design therefore having large performance and power penalties. This is often mitigated with extra masking strategies. This paper discusses a holistic view of metal stack optimization from standard cell level all the way to routing and the corresponding trade-off that exist for this space.
将逻辑缩小到N7和N5的关键挑战之一是对金属堆栈的自对齐多模式的要求。这带来了很大的后端成本,因此需要仔细的堆栈优化。堆栈中的各个层有不同的用途,因此它们的间距和层数的选择是至关重要的。此外,在N7或N5的超大尺度下,从多个LE, EUV到SADP/SAQP的模式选择数量也要大得多。正确的选择这些也需要图形技术,使用全光栅的电线,如SADP/SAQP技术引入高水平的金属假人的设计。这意味着对设计有很大的电容损失,因此具有很大的性能和功率损失。这通常可以通过额外的屏蔽策略得到缓解。本文讨论了从标准单元级一直到路由的金属堆栈优化的整体视图以及该空间存在的相应权衡。
{"title":"Metal stack optimization for low-power and high-density for N7-N5","authors":"P. Raghavan., F. Firouzi, L. Matti, P. Debacker, R. Baert, S. M. Y. Sherazi, D. Trivkovic, V. Gerousis, M. Dusa, J. Ryckaert, Z. Tokei, D. Verkest, G. McIntyre, K. Ronse","doi":"10.1117/12.2238928","DOIUrl":"https://doi.org/10.1117/12.2238928","url":null,"abstract":"One of the key challenges while scaling logic down to N7 and N5 is the requirement of self-aligned multiple patterning for the metal stack. This comes with a large cost of the backend cost and therefore a careful stack optimization is required. Various layers in the stack have different purposes and therefore their choice of pitch and number of layers is critical. Furthermore, when in ultra scaled dimensions of N7 or N5, the number of patterning options are also much larger ranging from multiple LE, EUV to SADP/SAQP. The right choice of these are also needed patterning techniques that use a full grating of wires like SADP/SAQP techniques introduce high level of metal dummies into the design. This implies a large capacitance penalty to the design therefore having large performance and power penalties. This is often mitigated with extra masking strategies. This paper discusses a holistic view of metal stack optimization from standard cell level all the way to routing and the corresponding trade-off that exist for this space.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114220411","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Toward sub-20nm pitch Fin patterning and integration with DSA 亚20nm间距翅片图像化及与DSA的整合
Pub Date : 2016-03-28 DOI: 10.1117/12.2220120
S. Sayan, Taisir Marzook, B. Chan, N. Vandenbroeck, Arjun Singh, D. Laidler, E. Sanchez, P. Leray, Paulina R. Delgadillo, R. Gronheid, G. Vandenberghe, W. Clark, A. Juncker
Directed Self Assembly (DSA) has gained increased momentum in recent years as a cost-effective means for extending lithography to sub-30nm pitch, primarily presenting itself as an alternative to mainstream 193i pitch division approaches such as SADP and SAQP. Towards these goals, IMEC has excelled at understanding and implementing directed self-assembly based on PS-b-PMMA block co-polymers (BCPs) using LiNe flow [1]. These efforts increase the understanding of how block copolymers might be implemented as part of HVM compatible DSA integration schemes. In recent contributions, we have proposed and successfully demonstrated two state-of-the-art CMOS process flows which employed DSA based on the PS-b-PMMA, LiNe flow at IMEC (pitch = 28 nm) to form FinFET arrays via both a ‘cut-last’ and ‘cut-first’ approach [2-4]. Therein, we described the relevant film stacks (hard mask and STI stacks) to achieve robust patterning and pattern transfer into IMEC’s FEOL device film stacks. We also described some of the pattern placement and overlay challenges associated with these two strategies. In this contribution, we will present materials and processes for FinFET patterning and integration towards sub-20 nm pitch technology nodes. This presents a noteworthy challenge for DSA using BCPs as the ultimate resolution for PS-b-PMMA may not achieve such dimensions. The emphasis will continue to be towards patterning approaches, wafer alignment strategies, the effects of DSA processing on wafer alignment and overlay.
近年来,定向自组装(DSA)作为一种将光刻技术扩展到30nm以下间距的经济有效手段,获得了越来越多的发展势头,主要是作为主流的193i间距划分方法(如SADP和SAQP)的替代方案。为了实现这些目标,IMEC擅长于使用LiNe flow理解和实现基于PS-b-PMMA嵌段共聚物(bcp)的定向自组装[1]。这些努力增加了对嵌段共聚物如何作为HVM兼容DSA集成方案的一部分实现的理解。在最近的文章中,我们提出并成功展示了两种最先进的CMOS工艺流程,它们采用基于PS-b-PMMA的DSA, IMEC(间距= 28 nm)的LiNe流程,通过“最后切割”和“先切割”方法形成FinFET阵列[2-4]。其中,我们描述了相关的薄膜堆栈(硬掩膜和STI堆栈),以实现鲁棒图像化和图案转移到IMEC的FEOL器件薄膜堆栈中。我们还描述了与这两种策略相关的一些模式放置和覆盖挑战。在这篇文章中,我们将介绍FinFET图像化和集成到20纳米以下间距技术节点的材料和工艺。这对使用bcp作为PS-b-PMMA的最终分辨率的DSA提出了一个值得注意的挑战,可能无法达到这样的尺寸。重点将继续放在图像化方法、晶圆对准策略、DSA处理对晶圆对准和覆盖的影响。
{"title":"Toward sub-20nm pitch Fin patterning and integration with DSA","authors":"S. Sayan, Taisir Marzook, B. Chan, N. Vandenbroeck, Arjun Singh, D. Laidler, E. Sanchez, P. Leray, Paulina R. Delgadillo, R. Gronheid, G. Vandenberghe, W. Clark, A. Juncker","doi":"10.1117/12.2220120","DOIUrl":"https://doi.org/10.1117/12.2220120","url":null,"abstract":"Directed Self Assembly (DSA) has gained increased momentum in recent years as a cost-effective means for extending lithography to sub-30nm pitch, primarily presenting itself as an alternative to mainstream 193i pitch division approaches such as SADP and SAQP. Towards these goals, IMEC has excelled at understanding and implementing directed self-assembly based on PS-b-PMMA block co-polymers (BCPs) using LiNe flow [1]. These efforts increase the understanding of how block copolymers might be implemented as part of HVM compatible DSA integration schemes. In recent contributions, we have proposed and successfully demonstrated two state-of-the-art CMOS process flows which employed DSA based on the PS-b-PMMA, LiNe flow at IMEC (pitch = 28 nm) to form FinFET arrays via both a ‘cut-last’ and ‘cut-first’ approach [2-4]. Therein, we described the relevant film stacks (hard mask and STI stacks) to achieve robust patterning and pattern transfer into IMEC’s FEOL device film stacks. We also described some of the pattern placement and overlay challenges associated with these two strategies. In this contribution, we will present materials and processes for FinFET patterning and integration towards sub-20 nm pitch technology nodes. This presents a noteworthy challenge for DSA using BCPs as the ultimate resolution for PS-b-PMMA may not achieve such dimensions. The emphasis will continue to be towards patterning approaches, wafer alignment strategies, the effects of DSA processing on wafer alignment and overlay.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"67 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130273336","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
期刊
SPIE Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1