Block copolymers, polymers composed of two or more homopolymers covalently bonded together, are currently being investigated as a method to extend optical lithography due to their ability to microphase separate on small size scales. In order to drive down the size that these BCPs phase separate, the BCPs with larger Flory-Huggin's χparameter needs to be found. Typically these BCPs are composed of more dissimilar homopolymers. However, changing these interactions also changes how BCPs interact with their guiding underlayers. In this paper, several block copolymers are simulated annealing on chemoepitaxial guiding underlayers using a coarse-grained molecular dynamics model in order to explore the effect that either energetic asymmetry or density asymmetry in the BCP have on the pattern registration. It is found that energetic asymmetry in BCPs causes one of the blocks to desire to skin, which shifts the composition of the background region that leads to well aligned vertical lamellae formation. It is hypothesized that moderate footing and undercutting at the underlayer or slight skinning at the free surface can increase the kinetics of defect annihilation by decreasing the distance that bridges must form. The density asymmetric BCPs simulated in this paper have different mechanical properties which lead to straighter sidewalls in the BCP film and potentially lead to better pattern registration. It is hypothesized that altering the compressibility of the blocks can alter equilibrium defectivity.
{"title":"Chemoepitaxial guiding underlayers for density asymmetric and energetically asymmetric diblock copolymers","authors":"Benjamin D. Nation, P. Ludovice, C. Henderson","doi":"10.1117/12.2219255","DOIUrl":"https://doi.org/10.1117/12.2219255","url":null,"abstract":"Block copolymers, polymers composed of two or more homopolymers covalently bonded together, are currently being investigated as a method to extend optical lithography due to their ability to microphase separate on small size scales. In order to drive down the size that these BCPs phase separate, the BCPs with larger Flory-Huggin's χparameter needs to be found. Typically these BCPs are composed of more dissimilar homopolymers. However, changing these interactions also changes how BCPs interact with their guiding underlayers. In this paper, several block copolymers are simulated annealing on chemoepitaxial guiding underlayers using a coarse-grained molecular dynamics model in order to explore the effect that either energetic asymmetry or density asymmetry in the BCP have on the pattern registration. It is found that energetic asymmetry in BCPs causes one of the blocks to desire to skin, which shifts the composition of the background region that leads to well aligned vertical lamellae formation. It is hypothesized that moderate footing and undercutting at the underlayer or slight skinning at the free surface can increase the kinetics of defect annihilation by decreasing the distance that bridges must form. The density asymmetric BCPs simulated in this paper have different mechanical properties which lead to straighter sidewalls in the BCP film and potentially lead to better pattern registration. It is hypothesized that altering the compressibility of the blocks can alter equilibrium defectivity.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"68 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121139840","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
K. Yamamoto, T. Nakano, M. Muramatsu, H. Genjima, T. Tomita, K. Matsuzaki, T. Kitano
Placement of cylinders in hole multiplication patterns for directed self-assembly is the topic of this computational study. A hole doublet process applying a corner rounded rectangle guide is the focus of this work. Placements including morphology fluctuation can be analyzed by dissipative particle dynamics simulation. When the surface of guides and underlayers are modified from strong polymethyl methacrylate (PMMA) attractive to weak PMMA attractive, two PMMA cylinders can be contacted at the underlayer. Even when the PMMA domain had a separated morphology, hole placement errors (HPE) were similar to those with connected domains which occurred in the strong PMMA affine case. In general, HPE in longitudinal guide direction was larger than in the shorter direction. It is interesting to note that HPE in the longer direction was decreased by increasing the guide size in shorter direction. Cylinder tops likely fluctuate; cylinder middles may fluctuate as well in some cases. Means for HPE reduction were also tested computationally: reducing the guide thickness and employing dimpled structures. Decreasing guide thickness was effective for reducing HPE; however, guide thicknesses that were too thin prevented PMMA domains from forming vertical cylinders. Dimpled structures also reduced HPE. The depth of the dimple had a little influence on the distance of two holes when the guide structure was fitted with hexagonal packing for the block co-polymers.
{"title":"Numerical placement analysis in hole multiplication patterns for directed self-assembly","authors":"K. Yamamoto, T. Nakano, M. Muramatsu, H. Genjima, T. Tomita, K. Matsuzaki, T. Kitano","doi":"10.1117/12.2218596","DOIUrl":"https://doi.org/10.1117/12.2218596","url":null,"abstract":"Placement of cylinders in hole multiplication patterns for directed self-assembly is the topic of this computational study. A hole doublet process applying a corner rounded rectangle guide is the focus of this work. Placements including morphology fluctuation can be analyzed by dissipative particle dynamics simulation. When the surface of guides and underlayers are modified from strong polymethyl methacrylate (PMMA) attractive to weak PMMA attractive, two PMMA cylinders can be contacted at the underlayer. Even when the PMMA domain had a separated morphology, hole placement errors (HPE) were similar to those with connected domains which occurred in the strong PMMA affine case. In general, HPE in longitudinal guide direction was larger than in the shorter direction. It is interesting to note that HPE in the longer direction was decreased by increasing the guide size in shorter direction. Cylinder tops likely fluctuate; cylinder middles may fluctuate as well in some cases. Means for HPE reduction were also tested computationally: reducing the guide thickness and employing dimpled structures. Decreasing guide thickness was effective for reducing HPE; however, guide thicknesses that were too thin prevented PMMA domains from forming vertical cylinders. Dimpled structures also reduced HPE. The depth of the dimple had a little influence on the distance of two holes when the guide structure was fitted with hexagonal packing for the block co-polymers.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"35 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134424174","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
In this paper, we will discuss the improvement of resist pattern roughness on NTD (Negative Tone Development) resist by chemical shrink process. Chemical shrink process is one of the most practical approaches to achieve small feature size CH (Contact Hole) or trench with ArF immersion lithography. We found that this shrink material has not only general benefits of shrink process like DOF (Depth of Focus) margin improvement, but also demonstrates a pattern smoothing effect through observation of the surface of shrink layer using SPM (Scanning Probe Microscope). Additionally, an improvement of LWR (Line Width Roughness) over 16% and an improvement of LCDU (Local Critical Dimension Uniformity) around 60% were observed.
{"title":"Resist roughness improvement by a chemical shrink process","authors":"Tatsuro Nagahara, Takashi Sekito, Y. Matsuura","doi":"10.1117/12.2218402","DOIUrl":"https://doi.org/10.1117/12.2218402","url":null,"abstract":"In this paper, we will discuss the improvement of resist pattern roughness on NTD (Negative Tone Development) resist by chemical shrink process. Chemical shrink process is one of the most practical approaches to achieve small feature size CH (Contact Hole) or trench with ArF immersion lithography. We found that this shrink material has not only general benefits of shrink process like DOF (Depth of Focus) margin improvement, but also demonstrates a pattern smoothing effect through observation of the surface of shrink layer using SPM (Scanning Probe Microscope). Additionally, an improvement of LWR (Line Width Roughness) over 16% and an improvement of LCDU (Local Critical Dimension Uniformity) around 60% were observed.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"4 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115294191","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pixelated wiregrids are of great interest in polarimetric imagers, but there are no straightforward methods available for combining the uniform exposures of laser interference with a masking system to achieve pixels at different rotational angles. In this work we demonstrate a 266nm deep-UV interference lithography combined with a traditional i-line contact lithography to create such pixels. Aluminum wiregrids are first made, following by etching to create the pixels, and then a planarizing molybdenum film is used before patterning subsequent pixel arrays. The etch contrast between the molybdenum and the aluminum enables the release of the planarizing layer.
{"title":"Deep-UV interference lithography combined with masked contact lithography for pixel wiregrid patterns","authors":"D. Lombardo, P. Shah, Pengfei Guo, A. Sarangan","doi":"10.1117/12.2219484","DOIUrl":"https://doi.org/10.1117/12.2219484","url":null,"abstract":"Pixelated wiregrids are of great interest in polarimetric imagers, but there are no straightforward methods available for combining the uniform exposures of laser interference with a masking system to achieve pixels at different rotational angles. In this work we demonstrate a 266nm deep-UV interference lithography combined with a traditional i-line contact lithography to create such pixels. Aluminum wiregrids are first made, following by etching to create the pixels, and then a planarizing molybdenum film is used before patterning subsequent pixel arrays. The etch contrast between the molybdenum and the aluminum enables the release of the planarizing layer.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"23 6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125846096","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Electric field can induce long range flow in liquid materials. This phenomenon is known as liquid electromigration. In particular case of Cr thin film deposited on an insulating substrate, application of high electric-field between two point electrodes results in liquefaction and subsequent flow of the liquefied material in a radially symmetric fashion away from the cathode. This electric field driven material transport phenomenon has been used for a new patterning technique, named electrolithography. A negatively biased scanning probe is used to etch a thin Cr film according to a desired pattern. Then the pattern is transferred to new materials using a polymer layer below the metal film. Electrolithography does not need any UV or e-beam source, and can be performed in ambient condition. We have achieved pattern resolutions of 9 nm on the polymer and 40 nm on transferring the pattern to other materials. In this work, with the help of electrolithography, we have patterned large areas using vector scan technique. This improves throughput of the process by a significant order.
{"title":"Nanoscale patterning in ambient conditions using liquid electromigration","authors":"S. Talukder, Praveen Kumar, R. Pratap","doi":"10.1117/12.2219024","DOIUrl":"https://doi.org/10.1117/12.2219024","url":null,"abstract":"Electric field can induce long range flow in liquid materials. This phenomenon is known as liquid electromigration. In particular case of Cr thin film deposited on an insulating substrate, application of high electric-field between two point electrodes results in liquefaction and subsequent flow of the liquefied material in a radially symmetric fashion away from the cathode. This electric field driven material transport phenomenon has been used for a new patterning technique, named electrolithography. A negatively biased scanning probe is used to etch a thin Cr film according to a desired pattern. Then the pattern is transferred to new materials using a polymer layer below the metal film. Electrolithography does not need any UV or e-beam source, and can be performed in ambient condition. We have achieved pattern resolutions of 9 nm on the polymer and 40 nm on transferring the pattern to other materials. In this work, with the help of electrolithography, we have patterned large areas using vector scan technique. This improves throughput of the process by a significant order.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"39 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124444783","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
This work focuses on the application of a high speed atomic force microscope (HS-AFM) for the in situ visualization / quantification of the resist dissolution process. This technique, as reported in the past, has provided useful pointers on the formation of resist patterns during dissolution. This paper discusses about an investigation made on the quantification of what we refer to as “dissolution unit size” or the basic units of patterning material dissolution. This was done through the establishment of an originally developed analysis method which extracts the difference between two succeeding temporal states of the material film surface (images) to indicate the amount of change occurring in the material film at a specific span of time. Preliminary experiments with actual patterning materials were done using a positive-tone EUV model resist composed only of polyhydroxystyrene (PHS)-based polymer with a molecular weight of 2,500 and a polydispersity index of 1.2. In the absence of a protecting group, the material was utilized at a 50nm film thickness with post application bake of 90°C/60s. The resulting film is soluble in the alkali-based developer even without exposure. Results have shown that the dissolution components (dissolution unit size) of the PHS-based material are not of fixed size. Instead, it was found that aside from one constantly dissolving unit size, another, much larger dissolution unit size trend also occurs during material dissolution. The presence of this larger dissolution unit size suggests an occurrence of "polymer clustering". Such polymer clustering was not significantly present during the initial stages of dissolution (near the original film surface) but becomes more persistently obvious after the dissolution process reaches a certain film thickness below the initial surface.
{"title":"Quantification of the resist dissolution process: an in situ analysis using high speed atomic force microscopy","authors":"J. Santillan, M. Shichiri, T. Itani","doi":"10.1117/12.2219078","DOIUrl":"https://doi.org/10.1117/12.2219078","url":null,"abstract":"This work focuses on the application of a high speed atomic force microscope (HS-AFM) for the in situ visualization / quantification of the resist dissolution process. This technique, as reported in the past, has provided useful pointers on the formation of resist patterns during dissolution. This paper discusses about an investigation made on the quantification of what we refer to as “dissolution unit size” or the basic units of patterning material dissolution. This was done through the establishment of an originally developed analysis method which extracts the difference between two succeeding temporal states of the material film surface (images) to indicate the amount of change occurring in the material film at a specific span of time. Preliminary experiments with actual patterning materials were done using a positive-tone EUV model resist composed only of polyhydroxystyrene (PHS)-based polymer with a molecular weight of 2,500 and a polydispersity index of 1.2. In the absence of a protecting group, the material was utilized at a 50nm film thickness with post application bake of 90°C/60s. The resulting film is soluble in the alkali-based developer even without exposure. Results have shown that the dissolution components (dissolution unit size) of the PHS-based material are not of fixed size. Instead, it was found that aside from one constantly dissolving unit size, another, much larger dissolution unit size trend also occurs during material dissolution. The presence of this larger dissolution unit size suggests an occurrence of \"polymer clustering\". Such polymer clustering was not significantly present during the initial stages of dissolution (near the original film surface) but becomes more persistently obvious after the dissolution process reaches a certain film thickness below the initial surface.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"58 31 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-31","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121500695","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
R. Chao, M. Breton, B. L'herron, B. Mendoza, R. Muthinti, F. Nelson, A. A. de la peña, Fee li Le, E. Miller, S. Sieg, J. Demarest, P. Gin, M. Wormington, A. Cepler, C. Bozdog, M. Sendelbach, S. Wolfling, Tom Cardinal, S. Kanakasabapathy, J. Gaudiello, N. Felix
Self-Aligned Quadruple Patterning (SAQP) is a promising technique extending the 193-nm lithography to manufacture structures that are 20nm half pitch or smaller. This process adopts multiple sidewall spacer image transfers to split a rather relaxed design into a quarter of its original pitch. Due to the number of multiple process steps required for the pitch splitting in SAQP, the process error propagates through each deposition and etch, and accumulates at the final step into structure variations, such as pitch walk and poor critical dimension uniformity (CDU). They can further affect the downstream processes and lower the yield. The impact of this error propagation becomes significant for advanced technology nodes when the process specifications of device design CD requirements are at nanometer scale. Therefore, semiconductor manufacturing demands strict in-line process control to ensure a high process yield and improved performance, which must rely on precise measurements to enable corrective actions and quick decision making for process development. This work aims to provide a comprehensive metrology solution for SAQP. During SAQP process development, the challenges in conventional in-line metrology techniques start to surface. For instance, critical-dimension scanning electron microscopy (CDSEM) is commonly the first choice for CD and pitch variation control. However, it is found that the high aspect ratio at mandrel level processes and the trench variations after etch prevent the tool from extracting the true bottom edges of the structure in order to report the position shift. On the other hand, while the complex shape and variations can be captured with scatterometry, or optical CD (OCD), the asymmetric features, such as pitch walk, show low sensitivity with strong correlations in scatterometry. X-ray diffraction (XRD) is known to provide useful direct measurements of the pitch walk in crystalline arrays, yet the data analysis is influenced by the incoming geometry and must be used carefully. A successful implementation of SAQP process control for yield improvement requires the metrology issues to be addressed. By optimizing the measurement parameters and beam configurations, CDSEM measurements distinguish each of the spaces corresponding to the upstream mandrel processes and report their CDs separately to feed back to the process team for the next development cycle. We also utilize the unique capability in scatterometry to measure the structure details in-line and implement a “predictive” process control, which shows a good correlation between the “predictive” measurement and the cross-sections from our design of experiments (DOE). The ability to measure the pitch walk in scatterometry was also demonstrated. This work also explored the frontier of in-line XRD capability by enabling an automatic RSM fitting on tool to output pitch walk values. With these advances in metrology development, we are able to demonstrate the impacts of in-line m
{"title":"Advanced in-line metrology strategy for self-aligned quadruple patterning","authors":"R. Chao, M. Breton, B. L'herron, B. Mendoza, R. Muthinti, F. Nelson, A. A. de la peña, Fee li Le, E. Miller, S. Sieg, J. Demarest, P. Gin, M. Wormington, A. Cepler, C. Bozdog, M. Sendelbach, S. Wolfling, Tom Cardinal, S. Kanakasabapathy, J. Gaudiello, N. Felix","doi":"10.1117/12.2220601","DOIUrl":"https://doi.org/10.1117/12.2220601","url":null,"abstract":"Self-Aligned Quadruple Patterning (SAQP) is a promising technique extending the 193-nm lithography to manufacture structures that are 20nm half pitch or smaller. This process adopts multiple sidewall spacer image transfers to split a rather relaxed design into a quarter of its original pitch. Due to the number of multiple process steps required for the pitch splitting in SAQP, the process error propagates through each deposition and etch, and accumulates at the final step into structure variations, such as pitch walk and poor critical dimension uniformity (CDU). They can further affect the downstream processes and lower the yield. The impact of this error propagation becomes significant for advanced technology nodes when the process specifications of device design CD requirements are at nanometer scale. Therefore, semiconductor manufacturing demands strict in-line process control to ensure a high process yield and improved performance, which must rely on precise measurements to enable corrective actions and quick decision making for process development. This work aims to provide a comprehensive metrology solution for SAQP. During SAQP process development, the challenges in conventional in-line metrology techniques start to surface. For instance, critical-dimension scanning electron microscopy (CDSEM) is commonly the first choice for CD and pitch variation control. However, it is found that the high aspect ratio at mandrel level processes and the trench variations after etch prevent the tool from extracting the true bottom edges of the structure in order to report the position shift. On the other hand, while the complex shape and variations can be captured with scatterometry, or optical CD (OCD), the asymmetric features, such as pitch walk, show low sensitivity with strong correlations in scatterometry. X-ray diffraction (XRD) is known to provide useful direct measurements of the pitch walk in crystalline arrays, yet the data analysis is influenced by the incoming geometry and must be used carefully. A successful implementation of SAQP process control for yield improvement requires the metrology issues to be addressed. By optimizing the measurement parameters and beam configurations, CDSEM measurements distinguish each of the spaces corresponding to the upstream mandrel processes and report their CDs separately to feed back to the process team for the next development cycle. We also utilize the unique capability in scatterometry to measure the structure details in-line and implement a “predictive” process control, which shows a good correlation between the “predictive” measurement and the cross-sections from our design of experiments (DOE). The ability to measure the pitch walk in scatterometry was also demonstrated. This work also explored the frontier of in-line XRD capability by enabling an automatic RSM fitting on tool to output pitch walk values. With these advances in metrology development, we are able to demonstrate the impacts of in-line m","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"65 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114650176","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
R. Muthinti, N. Loubet, R. Chao, J. Ott, M. Guillorn, N. Felix, J. Gaudiello, Parker Lund, A. Cepler, M. Sendelbach, Oded Cohen, S. Wolfling, C. Bozdog, M. Klare
Gate-all-around (GAA) nanowire (NW) devices have long been acknowledged as the ultimate device from an electrostatic scaling point of view. The GAA architecture offers improved short channel effect (SCE) immunity compared to single and double gate planar, FinFET, and trigate structures. One attractive proposal for making GAA devices involves the use of a multilayer fin-like structure consisting of layers of Si and SiGe. However, such structures pose various metrology challenges, both geometrical and material. Optical Scatterometry, also called optical critical dimension (OCD) is a fast, accurate and non-destructive in-line metrology technique well suited for GAA integration challenges. In this work, OCD is used as an enabler for the process development of nanowire devices, extending its abilities to learn new material and process aspects specific to this novel device integration. The specific metrology challenges from multiple key steps in the process flow are detailed, along with the corresponding OCD solutions and results. In addition, Low Energy X-Ray Fluorescence (LE-XRF) is applied to process steps before and after the removal of the SiGe layers in order to quantify the amount of Ge present at each step. These results are correlated to OCD measurements of the Ge content, demonstrating that both OCD and LE-XRF are sensitive to Ge content for these applications.
{"title":"Advanced in-line optical metrology of sub-10nm structures for gate all around devices (GAA)","authors":"R. Muthinti, N. Loubet, R. Chao, J. Ott, M. Guillorn, N. Felix, J. Gaudiello, Parker Lund, A. Cepler, M. Sendelbach, Oded Cohen, S. Wolfling, C. Bozdog, M. Klare","doi":"10.1117/12.2220379","DOIUrl":"https://doi.org/10.1117/12.2220379","url":null,"abstract":"Gate-all-around (GAA) nanowire (NW) devices have long been acknowledged as the ultimate device from an electrostatic scaling point of view. The GAA architecture offers improved short channel effect (SCE) immunity compared to single and double gate planar, FinFET, and trigate structures. One attractive proposal for making GAA devices involves the use of a multilayer fin-like structure consisting of layers of Si and SiGe. However, such structures pose various metrology challenges, both geometrical and material. Optical Scatterometry, also called optical critical dimension (OCD) is a fast, accurate and non-destructive in-line metrology technique well suited for GAA integration challenges. In this work, OCD is used as an enabler for the process development of nanowire devices, extending its abilities to learn new material and process aspects specific to this novel device integration. The specific metrology challenges from multiple key steps in the process flow are detailed, along with the corresponding OCD solutions and results. In addition, Low Energy X-Ray Fluorescence (LE-XRF) is applied to process steps before and after the removal of the SiGe layers in order to quantify the amount of Ge present at each step. These results are correlated to OCD measurements of the Ge content, demonstrating that both OCD and LE-XRF are sensitive to Ge content for these applications.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"16 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127138470","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
P. Raghavan., F. Firouzi, L. Matti, P. Debacker, R. Baert, S. M. Y. Sherazi, D. Trivkovic, V. Gerousis, M. Dusa, J. Ryckaert, Z. Tokei, D. Verkest, G. McIntyre, K. Ronse
One of the key challenges while scaling logic down to N7 and N5 is the requirement of self-aligned multiple patterning for the metal stack. This comes with a large cost of the backend cost and therefore a careful stack optimization is required. Various layers in the stack have different purposes and therefore their choice of pitch and number of layers is critical. Furthermore, when in ultra scaled dimensions of N7 or N5, the number of patterning options are also much larger ranging from multiple LE, EUV to SADP/SAQP. The right choice of these are also needed patterning techniques that use a full grating of wires like SADP/SAQP techniques introduce high level of metal dummies into the design. This implies a large capacitance penalty to the design therefore having large performance and power penalties. This is often mitigated with extra masking strategies. This paper discusses a holistic view of metal stack optimization from standard cell level all the way to routing and the corresponding trade-off that exist for this space.
{"title":"Metal stack optimization for low-power and high-density for N7-N5","authors":"P. Raghavan., F. Firouzi, L. Matti, P. Debacker, R. Baert, S. M. Y. Sherazi, D. Trivkovic, V. Gerousis, M. Dusa, J. Ryckaert, Z. Tokei, D. Verkest, G. McIntyre, K. Ronse","doi":"10.1117/12.2238928","DOIUrl":"https://doi.org/10.1117/12.2238928","url":null,"abstract":"One of the key challenges while scaling logic down to N7 and N5 is the requirement of self-aligned multiple patterning for the metal stack. This comes with a large cost of the backend cost and therefore a careful stack optimization is required. Various layers in the stack have different purposes and therefore their choice of pitch and number of layers is critical. Furthermore, when in ultra scaled dimensions of N7 or N5, the number of patterning options are also much larger ranging from multiple LE, EUV to SADP/SAQP. The right choice of these are also needed patterning techniques that use a full grating of wires like SADP/SAQP techniques introduce high level of metal dummies into the design. This implies a large capacitance penalty to the design therefore having large performance and power penalties. This is often mitigated with extra masking strategies. This paper discusses a holistic view of metal stack optimization from standard cell level all the way to routing and the corresponding trade-off that exist for this space.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114220411","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
S. Sayan, Taisir Marzook, B. Chan, N. Vandenbroeck, Arjun Singh, D. Laidler, E. Sanchez, P. Leray, Paulina R. Delgadillo, R. Gronheid, G. Vandenberghe, W. Clark, A. Juncker
Directed Self Assembly (DSA) has gained increased momentum in recent years as a cost-effective means for extending lithography to sub-30nm pitch, primarily presenting itself as an alternative to mainstream 193i pitch division approaches such as SADP and SAQP. Towards these goals, IMEC has excelled at understanding and implementing directed self-assembly based on PS-b-PMMA block co-polymers (BCPs) using LiNe flow [1]. These efforts increase the understanding of how block copolymers might be implemented as part of HVM compatible DSA integration schemes. In recent contributions, we have proposed and successfully demonstrated two state-of-the-art CMOS process flows which employed DSA based on the PS-b-PMMA, LiNe flow at IMEC (pitch = 28 nm) to form FinFET arrays via both a ‘cut-last’ and ‘cut-first’ approach [2-4]. Therein, we described the relevant film stacks (hard mask and STI stacks) to achieve robust patterning and pattern transfer into IMEC’s FEOL device film stacks. We also described some of the pattern placement and overlay challenges associated with these two strategies. In this contribution, we will present materials and processes for FinFET patterning and integration towards sub-20 nm pitch technology nodes. This presents a noteworthy challenge for DSA using BCPs as the ultimate resolution for PS-b-PMMA may not achieve such dimensions. The emphasis will continue to be towards patterning approaches, wafer alignment strategies, the effects of DSA processing on wafer alignment and overlay.
{"title":"Toward sub-20nm pitch Fin patterning and integration with DSA","authors":"S. Sayan, Taisir Marzook, B. Chan, N. Vandenbroeck, Arjun Singh, D. Laidler, E. Sanchez, P. Leray, Paulina R. Delgadillo, R. Gronheid, G. Vandenberghe, W. Clark, A. Juncker","doi":"10.1117/12.2220120","DOIUrl":"https://doi.org/10.1117/12.2220120","url":null,"abstract":"Directed Self Assembly (DSA) has gained increased momentum in recent years as a cost-effective means for extending lithography to sub-30nm pitch, primarily presenting itself as an alternative to mainstream 193i pitch division approaches such as SADP and SAQP. Towards these goals, IMEC has excelled at understanding and implementing directed self-assembly based on PS-b-PMMA block co-polymers (BCPs) using LiNe flow [1]. These efforts increase the understanding of how block copolymers might be implemented as part of HVM compatible DSA integration schemes. In recent contributions, we have proposed and successfully demonstrated two state-of-the-art CMOS process flows which employed DSA based on the PS-b-PMMA, LiNe flow at IMEC (pitch = 28 nm) to form FinFET arrays via both a ‘cut-last’ and ‘cut-first’ approach [2-4]. Therein, we described the relevant film stacks (hard mask and STI stacks) to achieve robust patterning and pattern transfer into IMEC’s FEOL device film stacks. We also described some of the pattern placement and overlay challenges associated with these two strategies. In this contribution, we will present materials and processes for FinFET patterning and integration towards sub-20 nm pitch technology nodes. This presents a noteworthy challenge for DSA using BCPs as the ultimate resolution for PS-b-PMMA may not achieve such dimensions. The emphasis will continue to be towards patterning approaches, wafer alignment strategies, the effects of DSA processing on wafer alignment and overlay.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"67 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130273336","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}